PCB設計

PCB設計

印制電路闆設計
PCB(printed circuit board)設計是指印制電路闆設計。印制電路闆的設計是以電路原理圖為根據,實現電路設計者所需要的功能。印刷電路闆的設計主要指版圖設計,需要考慮外部連接的布局、内部電子元件的優化布局、金屬連線和通孔的優化布局、電磁保護、熱耗散等各種因素。簡單的版圖設計可以用手工實現,複雜的版圖設計需要借助計算機輔助設計(CAD)實現。優秀的版圖設計可以節約生産成本,達到良好的電路性能和散熱性能。
    中文名:印刷電路闆設計 外文名:Printed Circuit Board Design 别名: 依據:電路原理圖 主要設計:版圖設計

簡介

在高速設計中,可控阻抗闆和線路的特性阻抗是最重要和最普遍的問題之一。首先了解一下傳輸線的定義:傳輸線由兩個具有一定長度的導體組成,一個導體用來發送信号,另一個用來接收信号(切記“回路”取代“地”的概念)。在一個多層闆中,每一條線路都是傳輸線的組成部分,鄰近的參考平面可作為第二條線路或回路。一條線路成為“性能良好”傳輸線的關鍵是使它的特性阻抗在整個線路中保持恒定。

線路闆成為“可控阻抗闆”的關鍵是使所有線路的特性阻抗滿足一個規定值,通常在25歐姆和70歐姆之間。在多層線路闆中,傳輸線性能良好的關鍵是使它的特性阻抗在整條線路中保持恒定。

但是,究竟什麼是特性阻抗?理解特性阻抗最簡單的方法是看信号在傳輸中碰到了什麼。當沿着一條具有同樣橫截面傳輸線移動時,這類似圖1所示的微波傳輸。假定把1伏特的電壓階梯波加到這條傳輸線中,如把1伏特的電池連接到傳輸線的前端(它位于發送線路和回路之間),一旦連接,這個電壓波信号沿着該線以光速傳播,它的速度通常約為6英寸/納秒。當然,這個信号确實是發送線路和回路之間的電壓差,它可以從發送線路的任何一點和回路的相臨點來衡量。圖2是該電壓信号的傳輸示意圖。

Zen的方法是先“産生信号”,然後沿着這條傳輸線以6英寸/納秒的速度傳播。第一個0.01納秒前進了0.06英寸,這時發送線路有多餘的正電荷,而回路有多餘的負電荷,正是這兩種電荷差維持着這兩個導體之間的1伏電壓差,而這兩個導體又組成了一個電容器。

在下一個0.01納秒中,又要将一段0.06英寸傳輸線的電壓從0調整到1伏特,這必須加一些正電荷到發送線路,而加一些負電荷到接收線路。每移動0.06英寸,必須把更多的正電荷加到發送線路,而把更多的負電荷加到回路。每隔0.01納秒,必須對傳輸線路的另外一段進行充電,然後信号開始沿着這一段傳播。

電荷來自傳輸線前端的電池,當沿着這條線移動時,就給傳輸線的連續部分充電,因而在發送線路和回路之間形成了1伏特的電壓差。每前進0.01納秒,就從電池中獲得一些電荷(±Q),恒定的時間間隔(±t)内從電池中流出的恒定電量(±Q)就是一種恒定電流。流入回路的負電流實際上與流出的正電流相等,而且正好在信号波的前端,交流電流通過上、下線路組成的電容,結束整個循環過程。

PCB(Printed Circuit Board)

印刷電路闆的縮寫

軟件

一、國内用的比較多的是

protel,

protel 99se,

protel DXP,

Altium,這些都是一個公司發展,不斷升級的軟件;當前版本是Altium Designer 9.1 比較簡單,設計比較随意,但是做複雜的PCB這些軟件就不是很好。

二、Cadende spb軟件Cadende spb 這是

Cadence的軟件,當前版本是Cadence SPB 16.5;其中的

ORCAD原理圖設計是國際标準;其中

PCB設計、仿真很全,用起來比

protel複雜,主要是要求、設置複雜;但是為設計做好了規定,所以設計起來事半功倍,比

protel就明顯強大。

三、

Mentor 公司的BORDSTATIONG和EE,其中BOARDSTATION由于隻适用于

UNIX系統,不是為

PC機設計,所以使用的人較少;當前MentorEE版本為Mentor EE 7.9和

Cadence spb 屬于同級别的

PCB設計軟件,它有些地方比

cadence spb 差,它的強項是拉線、飛線,人稱飛線王。

四、EAGLE Layout 這是歐洲使用最廣泛的

PCB設計軟件。上述所說

PCB設計軟件,用的比較多的,

Cadence spb 和

Mentor EE 是裡面當之無愧的王者。如果是初學設計

PCB我覺得

Cadence spb 比較好,它可以給設計者養成一個良好的設計習慣,而且能保證良好的設計質量。

技巧介紹

設置技巧

設計在不同階段需要進行不同的各點設置,在布局階段可以采用大格點進行器件布局;

對于IC、非定位接插件等大器件,可以選用50~100mil的格點精度進行布局,而對于電阻電容和電感等無源小器件,可采用25mil的格點進行布局。大格點的精度有利于器件的對齊和布局的美觀。

PCB布局規則:

1、在通常情況下,所有的元件均應布置在電路闆的同一面上,隻有頂層元件過密時,才能将一些高度有限并且發熱量小的器件,如貼片電阻、貼片電容、貼片IC等放在底層。

2、在保證電氣性能的前提下,元件應放置在栅格上且相互平行或垂直排列,以求整齊、美觀,在一般情況下不允許元件重疊;元件排列要緊湊,元件在整個版面上應分布均勻、疏密一緻。

3、電路闆上不同組件相臨焊盤圖形之間的最小間距應在1MM以上。

4、離電路闆邊緣一般不小于2MM.電路闆的最佳形狀為矩形,長寬比為3:2或4:3.電路闆面尺大于200MM乘150MM時,應考慮電路闆所能承受的機械強度。

布局技巧

在PCB的布局設計中要分析電路闆的單元,依據起功能進行布局設計,對電路的全部元器件進行布局時,要符合以下原則:

1、按照電路的流程安排各個功能電路單元的位置,使布局便于信号流通,并使信号盡可能保持一緻的方向。

2、以每個功能單元的核心元器件為中心,圍繞他來進行布局。元器件應均勻、整體、緊湊的排列在PCB上,盡量減少和縮短各元器件之間的引線和連接。

3、在高頻下工作的電路,要考慮元器件之間的分布參數。一般電路應盡可能使元器件并行排列,這樣不但美觀,而且裝旱容易,易于批量生産。

設計步驟

布局設計

在PCB中,特殊的元器件是指高頻部分的關鍵元器件、電路中的核心元器件、易受幹擾的元器件、帶高壓的元器件、發熱量大的元器件,以及一些異性元器 件,這些特殊元器件的位置需要仔細分析,做帶布局合乎電路功能的要求及生産的需求。不恰當的放置他們可能産生電路兼容問題、信号完整性問題,從而導緻 PCB設計的失敗。

在設計中如何放置特殊元器件時首先考慮PCB尺寸大小。快易購指出pcb尺寸過大時,印刷線條長,阻抗增加,抗燥能力下降,成本也增加;過小時,散熱不好,且臨近線條容易受幹擾。在确定PCB的尺寸後,在确定特殊元件的擺方位置。最後,根據功能單元,對電路的全部元器件進行布局。特殊元器件的位置在布局時一般 要遵守以下原則:

1、盡可能縮短高頻元器件之間的連接,設法減少他們的分布參數及和相互間的電磁幹擾。易受幹擾的元器件不能相互離的太近,輸入和輸出應盡量遠離。

2一些元器件或導線有可能有較高的電位差,應加大他們的距離,以免放電引起意外短路。高電壓的元器件應盡量放在手觸及不到的地方。

3、重量超過15G的元器件,可用支架加以固定,然後焊接。那些又重又熱的元器件,不應放到電路闆上,應放到主機箱的底版上,且考慮散熱問題。熱敏元器件應遠離發熱元器件。

4、對與電位器、可調電感線圈、可變電容器、微動開關等可調元器件的布局應考慮整塊扳子的結構要求,一些經常用到的開關,在結構允許的情況下,應放置到手容易接觸到的地方。元器件的布局到均衡,疏密有度,不能頭重腳輕。

一個産品的成功,一是要注重内在質量。而是要兼顧整體的美觀,兩者都比較完美的扳子,才能成為成功的産品。

放置順序

1、放置與結構有緊密配合的元器件,如電源插座、指示燈、開關、連接器等。

2、放置特殊元器件,如大的元器件、重的元器件、發熱元器件、變壓器、IC等。

3、放置小的元器件。

布局檢查

1、電路闆尺寸和圖紙要求加工尺寸是否相符合。

2、元器件的布局是否均衡、排列整齊、是否已經全部布完。

3、各個層面有無沖突。如元器件、外框、需要私印的層面是否合理。

3、常用到的元器件是否方便使用。如開關、插件闆插入設備、須經常更換的元器件等。

4、熱敏元器件與發熱元器件距離是否合理。

5、散熱性是否良好。

6、線路的幹擾問題是否需要考慮。

具體方法

目的和作用

1.1 規範設計作業,提高生産效率和改善産品的質量。

适用範圍

1.1 XXX 公司開發部的VCD超級VCDDVD音響等産品。

責任态度

3.1 XXX 開發部的所有電子工程師、技術員及電腦繪圖員等。

資曆和培訓

4.1 有

電子技術基礎;

4.2 有電腦基本操作常識;

4.3 熟悉利用電腦PCB 繪圖軟件.

工作指導

5.1 銅箔最小線寬:0.1MM,面闆0.2MM 邊緣銅箔最小要1.0MM

5.2 銅箔最小間隙:0.1MM,面闆:0.2MM.

5.3 銅箔與闆邊最小距離為0.55MM,元件與闆邊最小距離為5.0MM,盤與闆邊最小距離為4.0MM

5.4 一般通孔安裝元件的焊盤的大小(徑)孔徑的兩倍,雙面闆最小1..5MM,單面闆最小為2.0MM,議(2.5MM)如果不能用圓形焊盤,用腰圓形焊盤,小如下圖所示(如有标準元件庫,

則以标準元件庫為準)

焊盤長邊、短邊與孔的關系為 :

5.5 電解電容不可觸及發熱元件,大功率

電阻,敏電阻,壓器,熱器等.解電容與散熱器的間隔最小為10.0MM,它元件到散熱器的間隔最小為2.0MM.

5.6 大型元器件(如:變壓器、直徑15.0MM 以上的電解電容、大電流的插座等)加大銅箔及上錫面積如下圖;陰影部分面積肥最小要與焊盤面積相等。

5.7 螺絲孔半徑5.0MM 内不能有銅箔(要求接地外)元件.(按結構圖要求).

5.8 上錫位不能有絲印油.

5.9 焊盤中心距小于2.5MM 的,相鄰的焊盤周邊要有絲印油包裹,印油寬度為0.2MM(議0.5MM).

5.10 跳線不要放在IC 下面或馬達、電位器以及其它大體積金屬外殼的元件下.

5.11 在大面積PCB設計中(約超過500CM2 以上),防止過錫爐時PCB 闆彎曲,在PCB 闆中間留一條5 至10MM 寬的空隙不放元器件(走線),用來在過錫爐時加上防止PCB 闆彎曲的壓條,下圖的陰影區::

5.12 每一粒三極管必須在絲印上标出e,c,b 腳.

5.13 需要過錫爐後才焊的元件,盤要開走錫位,向與過錫方向相反,度視孔的大小為0.5MM 到1.0MM如下圖 :

5.14 設計雙面闆時要注意,金屬外殼的元件,

插件時外殼與印制闆接觸的,頂層的焊盤不可開,一定要用綠油或絲印油蓋住(例如兩腳的晶振)。

5.15 為減少焊點短路,所有的雙面印制闆,過孔都不開綠油窗。

5.16 每一塊PCB 上都必須用實心箭頭标出過錫爐的方向:

5.17 孔洞間距離最小為1.25MM(雙面闆無效)

5.18 布局時,DIP 封裝的IC 擺放的方向必須與過錫爐的方向成垂直,不可平行,如下圖;如果布局上有困難,可允許水平放置IC (OP 封裝的IC 擺放方向與DIP 相反)。

5.19 布線方向為水平或垂直,由垂直轉入水平要走45 度進入。

5.20 元件的安放為水平或垂直。

5.21 絲印字符為水平或右轉90 度擺放。

5.22 若銅箔入圓焊盤的寬度較圓焊盤的直徑小時,則需加淚滴。如圖 :

5.23 物料編碼和設計編号要放在闆的空位上。

5.24 把沒有接線的地方合理地作接地或電源用。

5.25 布線盡可能短,特别注意時鐘線、低電平信号線及所有高頻回路布線要更短。

5.26 模拟電路及數字電路的地線及

供電系統要完全分開。

5.27 如果印制闆上有大面積地線和電源線區(面積超過500 平方毫米),應局部開窗口。如圖 :

5.28 電插印制闆的定位孔規定如下,陰影部分不可放元件,手插元件除外,L 的範圍是50 330mm,H的範圍是50 250mm,果小于50X50 則要拼闆開模方可電插,如果超過330X250 則改為手插闆。定位孔需在長邊上。

基本概念

少用過孔

一旦選用了過孔,務必處理好它與周邊各實體的間隙,特别是容易被忽視的中間各層與過孔不相連的線與過孔的間隙,如果是自動布線,可在“過孔數量最小化” ( Via Minimiz8tion)子菜單裡選擇“on”項來自動解決。(2)需要的載流量越大,所需的過孔尺寸越大,如電源層和地層與其它層聯接所用的過孔就要大一些。

絲印層

Overlay

為方便電路的安裝和維修等,在印刷闆的上下兩表面印刷上所需要的标志圖案和文字代号等,例如元件标号和标稱值、元件外廓形狀和廠家标志、生産日期等等。不少初學者設計絲印層的有關内容時,隻注意文字符号放置得整齊美觀,忽略了實際制出的PCB效果。他們設計的印闆上,字符不是被元件擋住就是侵入了助焊區域被抹賒,還有的把元件标号打在相鄰元件上,如此種種的設計都将會給裝配和維修帶來很大不便。正确的絲印層字符布置原則是:”不出歧義,見縫插針,美觀大方”。

SMD封裝

特殊性

Protel封裝庫内有大量SMD封裝,即表面焊裝器件。這類器件除體積小巧之外的最大特點是單面分布元引腳孔。因此,選用這類器件要定義好器件所在面,以免“丢失引腳(Missing Plns)”。另外,這類元件的有關文字标注隻能随元件所在面放置。

填充區

網格狀填充區(External Plane )和填充區(Fill)

正如兩者的名字那樣,網絡狀填充區是把大面積的銅箔處理成網狀的,填充區僅是完整保留銅箔。初學者設計過程中在計算機上往往看不到二者的區别,實質上,隻要你把圖面放大後就一目了然了。正是由于平常不容易看出二者的區别,所以使用時更不注意對二者的區分,要強調的是,前者在電路特性上有較強的抑制高頻幹擾的作用,适用于需做大面積填充的地方,特别是把某些區域當做屏蔽區、分割區或大電流的電源線時尤為合适。後者多用于一般的線端部或轉折區等需要小面積填充的地方。

焊盤

Pad

焊盤是PCB設計中最常接觸也是最重要的概念,但初學者卻容易忽視它的選擇和修正,在設計中千篇一律地使用圓形焊盤。選擇元件的焊盤類型要綜合考慮該元件的形狀、大小、布置形式、振動和受熱情況、受力方向等因素。Protel在封裝庫中給出了一系列不同大小和形狀的焊盤,如圓、方、八角、圓方和定位用焊盤等,但有時這還不夠用,需要自己編輯。例如,對發熱且受力較大、電流較大的焊盤,可自行設計成“淚滴狀”,在大家熟悉的彩電PCB的行輸出變壓器引腳焊盤的設計中,不少廠家正是采用的這種形式。一般而言,自行編輯焊盤時除了以上所講的以外,還要考慮以下原則:

(1)形狀上長短不一緻時要考慮連線寬度與焊盤特定邊長的大小差異不能過大;

(2)需要在元件引角之間走線時選用長短不對稱的焊盤往往事半功倍;

(3)各元件焊盤孔的大小要按元件引腳粗細分别編輯确定,原則是孔的尺寸比引腳直徑大0.2- 0.4毫米。

PCB放置焊盤:

1 .放置焊盤的方法

可以執行主菜單中命令 Place/Pad ,也可以用組件放置工具欄中的 Place Pad 按鈕。

進入放置焊盤( Pad )狀态後,鼠标将變成十字形狀,将鼠标移動到合适的位置上單擊就完成了焊盤的放置。

2 .焊盤的屬性設置

焊盤的屬性設置有以下兩種方法:

● 在用鼠标放置焊盤時,鼠标将變成十字形狀,按 Tab 鍵,将彈出 Pad (焊盤屬性)設置對話框.

7-24 焊盤屬性設置對話框

● 對已經在 PCB 闆上放置好的焊盤,直接雙擊,也可以彈出焊盤屬性設置對話框。在焊盤屬性設置對話在框中有如下幾項設置:

● Hole Size :用于設置焊盤的内直徑大小。

● Rotation :用一設置焊盤放置的旋轉角度。

● Location :用于設置焊盤圓心的 x 和 y 坐标的位置。

● Designator 文本框:用于設置焊盤的序号。

● Layer 下拉列表:從該下拉列表中可以選擇焊盤放置的布線層。

● Net 下拉列表:該下拉列表用于設置焊盤的網絡。

● Electrical Type 下拉列表:用于選擇焊盤的電氣特性。該下拉列表共有 3 種選擇方式: Load (節點)、 Source (源點)和 Terminator (終點)。

● Testpoint 複選項:用于設置焊盤是否作為測試點,可以做測試點的隻有位于頂層的和底層的焊盤。

● Locked 複選項:選中該複選項,表示焊盤放置後位置将固定不動。

● Size and Shape 選項區域:用于設置焊盤的大小和形狀

● X-Size 和 Y-Size :分别設置焊盤的 x 和 y 的尺寸大小。

● Shape 下拉列表:用于設置焊盤的形狀,有 Round (圓形)、 Octagonal (八角形)和 Rectangle

(長方形)。

● Paste Mask Expansions 選項區域:用于設置助焊層屬性。

● Solder Mask Expansions 選項區域:用于設置阻焊層屬性。

各類膜

Mask

這些膜不僅是PcB制作工藝過程中必不可少的,而且更是元件焊裝的必要條件。按“膜”所處的位置及其作用,“膜”可分為元件面(或焊接面)助焊膜(TOp or Bottom 和元件面(或焊接面)阻焊膜(TOp or BottomPaste Mask)兩類。顧名思義,助焊膜是塗于焊盤上,提高可焊性能的一層膜,也就是在綠色闆子上比焊盤略大的各淺色圓斑。阻焊膜的情況正好相反,為了使制成的闆子适應波峰焊等焊接形式,要求闆子上非焊盤處的銅箔不能粘錫,因此在焊盤以外的各部位都要塗複一層塗料,用于阻止這些部位上錫。可見,這兩種膜是一種互補關系。由此讨論,就不難确定菜單中

類似“solder Mask En1argement”等項目的設置了。

飛線

有兩重含義

自動布線時供觀察用的類似橡皮筋的網絡連線,在通過網絡表調入元件并做了初步布局後,用“Show 命令就可以看到該布局下的網絡連線的交叉狀況,不斷調整元件的位置使這種交叉最少,以獲得最大的自動布線的布通率。這一步很重要,可以說是磨刀不誤砍柴功,多花些時間,值!另外,自動布線結束,還有哪些網絡尚未布通,也可通過該功能來查找。找出未布通網絡之後,可用手工補償,實在補償不了就要用到“飛線”的第二層含義,就是在将來的印闆上用導線連通這些網絡。要交待的是,如果該電路闆是大批量自動線生産,可将這種飛線視為0歐阻值、具有統一焊盤間距的電阻元件來進行設計。

印刷電路闆(Printed circuit board,PCB)幾乎會出現在每一種電子設備當中。如果在某樣設備中有電子零件,那麼它們也都是鑲在大小各異的PCB上。除了固定各種小零件外,PCB的主要功能是提供上頭各項零件的相互電氣連接。随着電子設備越來越複雜,需要的零件越來越多,PCB上頭的線路與零件也越來越密集了。标準的PCB長得就像這樣。裸闆(上頭沒有零件)也常被稱為「印刷線路闆Printed Wiring Board(PWB)」。

闆子本身的基闆是由絕緣隔熱、并不易彎曲的材質所制作成。在表面可以看到的細小線路材料是銅箔,原本銅箔是複蓋在整個闆子上的,而在制造過程中部分被蝕刻處理掉,留下來的部分就變成網狀的細小線路了。這些線路被稱作導線(conductor pattern)或稱布線,并用來提供PCB上零件的電路連接。

為了将零件固定在PCB上面,我們将它們的接腳直接焊在布線上。在最基本的PCB(單面闆)上,零件都集中在其中一面,導線則都集中在另一面。這麼一來我們就需要在闆子上打洞,這樣接腳才能穿過闆子到另一面,所以零件的接腳是焊在另一面上的。因為如此,PCB的正反面分别被稱為零件面(Component Side)與焊接面(Solder Side)。

如果PCB上頭有某些零件,需要在制作完成後也可以拿掉或裝回去,那麼該零件安裝時會用到插座(Socket)。由于插座是直接焊在闆子上的,零件可以任意的拆裝。下面看到的是ZIF(Zero Insertion Force,零撥插力式)插座,它可以讓零件(這裡指的是CPU)可以輕松插進插座,也可以拆下來。插座旁的固定杆,可以在您插進零件後将其固定。

如果要将兩塊PCB相互連結,一般我們都會用到俗稱「金手指」的邊接頭(edge connector)。金手指上包含了許多裸露的銅墊,這些銅墊事實上也是PCB布線的一部分。通常連接時,我們将其中一片PCB上的金手指插進另一片PCB上合适的插槽上(一般叫做擴充槽Slot)。在計算機中,像是顯示卡,聲卡或是其它類似的界面卡,都是借着金手指來與主機闆連接的。

PCB上的綠色或是棕色,是阻焊漆(solder mask)的顔色。這層是絕緣的防護層,可以保護銅線,也可以防止零件被焊到不正确的地方。在阻焊層上另外會印刷上一層絲網印刷面(silk screen)。通常在這上面會印上文字與符号(大多是白色的),以标示出各零件在闆子上的位置。絲網印刷面也被稱作圖标面(legend)。

PCB打樣

PCB的中文名稱為印制電路闆又稱印刷電路闆、印刷線路闆是重要的電子部件是電子元器件的支撐體?是電子元器件電氣連接的提供者。由于它是采用電子印刷術制作的故被稱為“印刷”電路闆。

PCB打樣就是指印制電路闆在批量生産前的試産主要應用為電子工程師在設計好電路?并完成PCB Layout之後向工廠進行小批量試産的過程即為PCB打樣。而PCB打樣的生産數量一般沒有具體界線一般是工程師在産品設計未完成确認和完成測試之前都稱之為PCB打樣。

轉換器

高速模拟/數字轉換器 (High speed ADC) 通常是模拟前端PCB電路系統裡最基本的組成組件。由于模拟/數字元轉換器的性能決定系統的整體效能表現,因此系統制造商往往将模拟/數字轉換器視為最重要的組件。本文将詳細介紹超音波系統前端的運作原理,并特别讨論模拟/數字轉換器在其中所發揮的作用。

在PCB設計超音波系統的前端PCB電路時,制造商必須審慎考慮幾項重要因素,以便進行适當的取舍。醫務人員能否作出正确的診斷,乃取決于模拟PCB電路在這個過程當中關鍵性的作用。

模拟PCB電路的表現則取決于許多不同的參數,其中包括通道之間的串音幹擾、無雜散訊号動态範圍 (SFDR) 以及總諧波失真。因此制造商在決定選用何種模拟PCB電路之前,必須詳細考慮這些參數。

以模拟/數字轉換器為例來說,如果加設串行 LVDS 驅動器等先進PCB電路,便可縮小PCB電路闆,以及抑制電磁波等噪聲的幹擾,有助于進一步改善系統的PCB設計。微型化、高效能及功能齊備的超音波系統産品的制造,造成市場上持續要求生産低耗電模拟IC,使其具備與放大器、模拟/數字轉換器和小封裝的更佳整合。

系統概述

超音波影像系統是目前最常用而又最精密的訊号處理儀器,可協助醫務人員作出正确診斷。在超音波系統的前端,采用極度精密的模拟訊号處理PCB電路,像是模拟/數字轉換器及低噪聲放大器(LNA)等,而這些模拟PCB電路的表現是決定系統效能的關鍵因素。

超音波設備非常接近于雷達或聲納系統,隻不過是在不同的頻率帶(範圍)中操作。 雷達操作于GHz(千兆赫)的範圍中,聲納在kHz(千赫)的範圍内,而超音波系統則在MHz(兆赫)範圍内操作。 這些設備的原理幾乎與商業和軍用航空器所用的-數組天線雷達系統操作模式相同。雷達系統的PCB設計者是使用相控操縱波束形成器數組為原理,這些原理後來也被超音波系統PCB設計者采用并加以改進。

在所有超音波系統儀器中,都有一個多元轉換器在相對較長的電纜(大約2公尺)的末端。電纜内含高達 256 條微型同軸電纜,是超音波系統内最昂貴的組件之一。超音波系統一般會配備多個不同的轉換器探頭,讓負責操作的醫務人員可以依掃描影像的現場需求來選擇适用的轉換器。

影像的産生

掃描過程的第一步,每一個轉換器負責産生脈沖訊号,并将訊号傳送出去。傳送出去的脈沖訊号以高頻率的聲波形式穿過人體組織,聲波的傳送速度一般介于1至20MHz之間。這些脈沖訊号開始在人體内進行定時和定标偵測。當訊号穿越身體的組織時,其中部分聲波會反射回轉換器模塊,并由轉換器負責偵測這些回波的電位(轉換器将訊号傳送出去之後,會立即進行切換,改用接收模式)。回波訊号的強度取決于回波訊号反射點在人體内的位置。直接從皮下組織反射回來的訊号一般都極強,而從人體内深入部位反射回來的訊号則極微弱。

由于健康安全相關法律對人體可以承受的最大輻射量有所規定,因此工程師PCB設計的電子接收系統必須極為靈敏。接近于人體表皮的病症區,我們稱之為近場 (near field),被反射回來的能量是高的。 但是如果病症區在人體内的深處部位,稱之為遠場 (far field),接收到的回波将極為微弱,因此必須被放大為1000倍或以上。

在遠場影像的模式時,其效能限制來自于接收鍊路中存在的所有噪聲。轉換器/電纜組件以及接收系統的低噪聲放大器是兩個最大的外來噪聲源。 而近場影像模式下,效能限制則是來自于輸入訊号的大小。 這兩種訊号之間的比率決定了超音波儀器的動态範圍。

通過一系列接收器的時相轉換、振幅調整以及智能型累計回波能量等過程,既可以獲得高清晰度的影像。利用轉換器數組的時移與調整接收訊号振幅的原理可以使設備具有定點觀測掃描部位的功能。經過序列化的不同部位定位觀測,超音波儀器即可建立一個組合影像。

數字聚波可以完成訊号的組合處理。在數字聚波中,經由身體内某一點反射回來的回波脈沖訊号會在每一信道内先儲存起來,然後按照其先後次序排列一起,并将之固定成為同調訊号,然後聚集起來。這種将多個模拟/數字轉換器的輸出聚集一起的處理方法可以提高增益,因為信道内的噪聲是互不相關的。(備注:模拟聚波技術基本已經成為過時的方法,現代所采用的大部分為數字聚波)。影像的形成,是于最接近轉換器系統的仿真層取樣,将其存儲起來,再以數字化把它們聚集在一起而成。

DBF 系統需要精确的信道與信道匹配。兩信道均需要VGA(視頻圖形數組),這種情況将會持續,直到模拟/數字轉換器設備足夠應付大的動态範圍,并可以提供合理的成本和低耗電量。

影像模式

1. 灰度影像 -- 産生基本的黑白圖像

影像将被辨析成1毫米那麼小的單位,呈現的影像是由發射能量以及檢測那些返回的能量而成 (如先前所述)。

2. 多普勒影像(Doppler)--多普勒模式 (Doppler mode) 是通過跟蹤回波的頻率偏移來探測物體在各種環境中運動的速度。這些原理被應用在檢查體内血液或者其它液體在體内流動的情形。這種技術是透過發射一連串聲波進入體内,然後對反射波進行快速傅利葉轉換(Fourier Transform, FFT)處理。這種計算處理方法即可确定來自人體的訊号頻率分量,以及它們與流體速度的關系。

3.靜脈和動脈模式 -- 這種方式是将多普勒影像與灰度模式的聯合應用。通過處理多普勒位移産生的音效訊号即可獲得速率與節律。

電路原理圖的設計步驟

電路原理圖的設計是整個

電路設計的基礎,它的設計的好壞直接決定後面PCB設計的效果。一般來說,電路原理圖的設計如下。

具體設計的步驟:

第一步,啟動

Protel DXP原理圖編輯器

第二步,設置

電路原理圖的大小與版面

第三步,從元件庫取出所需元件放置在工作平面

第四步,根據設計需要連接元器件

第五步,對布線後的

元器件進行調整

第六步,保存已繪好的

原理圖文檔

第七步,打印輸出圖紙

主要的流程

在PCB設計中,其實在正式布線前,還要經過很漫長的步驟,以下就是PCB設計主要的流程:

系統規格

首先要先規劃出該電子設備的各項系統規格。包含了系統功能,成本限制,大小,運作情形等等。

系統功能區塊圖

接下來必須要制作出系統的功能方塊圖。方塊間的關系也必須要标示出來。

将系統分割幾個PCB 将系統分割數個PCB的話,不僅在尺寸上可以縮小,也可以讓系統具有升級與交換零件的能力。系統功能方塊圖就提供了我們分割的依據。像是計算機就可以分成主機闆、顯示卡、聲卡、軟盤驅動器和電源等等。

決定使用封裝方法,和各PCB的大小 當各PCB使用的技術和電路數量都決定好了,接下來就是決定闆子的大小了。如果PCB設計的過大,那麼封裝技術就要改變,或是重新作分割的動作。在選擇技術時,也要将線路圖的品質與速度都考量進去。

高速流程

傳統的PCB設計流程,在信号速率越來越高,甚至GHZ以上的高速PCB設計領域已經不适用了。高速PCB設計必須和仿真以及驗證完美的結合在一起。而仿真也不是傳統意義的簡單的對設計進行驗證,而是嵌入整個設計流程的前仿真得到規則,規則驅動設計,到最後的後仿真驗證。

注意事項

(1)避免在PCB邊緣安排重要的信号線,如時鐘和複位信号等。

(2)機殼地線與信号線間隔至少為4毫米;保持機殼地線的長寬比小于5:1以減少電感效應。

(3)已确定位置的器件和線用LOCK功能将其鎖定,使之以後不被誤動。

(4)導線的寬度最小不宜小于0.2mm(8mil),在高密度高精度的印制線路中,導線寬度和間距一般可取12mil。

(5)在DIP封裝的IC腳間走線,可應用10-10與12-12原則,即當兩腳間通過2根線時,焊盤直徑可設為50mil、線寬與線距都為10mil,當兩腳間隻通過1根線時,焊盤直徑可設為64mil、線寬與線距都為12mil。

(6)當焊盤直徑為1.5mm時,為了增加焊盤抗剝強度,可采用長不小于1.5mm,寬為1.5mm和長圓形焊盤。

(7)設計遇到焊盤連接的走線較細時,要将焊盤與走線之間的連接設計成水滴狀,這樣焊盤不容易起皮,走線與焊盤不易斷開。

(8)大面積敷銅設計時敷銅上應有開窗口,加散熱孔,并将開窗口設計成網狀。

(9)盡可能縮短高頻元器件之間的連線,減少它們的分布參數和相互間的電磁幹擾。易受幹擾的元器件不能相互挨得太近,輸入和輸出元件應盡量遠離。

特殊元件布局

1、高頻元件:高頻元件之間的連線越短越好,設法減小連線的分布參數和相互之間的電磁幹擾,易受幹擾的元件不能離得太近。隸屬于輸入和隸屬于輸出的元件之間的距離應該盡可能大一些。

2、具有高電位差的元件:應該加大具有高電位差元件和連線之間的距離,以免出現意外短路時損壞元件。為了避免爬電現象的發生,一般要求2000V電位差之間的銅膜線距離應該大于2mm,若對于更高的電位差,距離還應該加大。帶有高電壓的器件,應該盡量布置在調試時手不易觸及的地方。

3、重量太大的元件:此類元件應該有支架固定,而對于又大又重、發熱量多的元件,不宜安裝在電路闆上。

4、發熱與熱敏元件:注意發熱元件應該遠離熱敏元件。

規則裝配

在設計中,從PCB闆的裝配角度來看,要考慮以下參數:

1)孔的直徑要根據最大材料條件( MMC) 和最小材料條件(LMC) 的情況來決定。一個無支撐元器件的孔的直徑應當這樣選取,即從孔的MMC 中減去引腳的MMC ,所得的差值在0.15 -0. 5mm 之間。而且對于帶狀引腳,引腳的标稱對角線和無支撐孔的内徑差将不超過0.5mm ,并且不少于0.15mm。

2) 合理放置較小元器件,以使其不會被較大的元器件遮蓋。

3) 阻焊的厚度應不大于0.05mm。

4) 絲網印制标識不能和任何焊盤相交。

5) 電路闆的上半部應該與下半部一樣,以達到結構對稱。因為不對稱的電路闆可能會變彎曲。

後期檢查要素

元件封裝

(1)焊盤間距。如果是新的器件,要自己畫元件封裝,保證間距合适,焊盤間距直接影響到元件的焊接。

(2)過孔大小(如果有)。對于插件式器件,過孔大小應該保留足夠的餘量,一般保留不小于0.2mm比較合适。

(3)輪廓絲印。器件的輪廓絲印最好比實際大小要大一點,保證器件可以順利安裝。

布局

(1)IC不宜靠近闆邊。

(2)同一模塊電路的器件應靠近擺放。比如去耦電容應該靠近IC的電源腳,組成同一個功能電路的器件優先擺放在一個區域,層次分明,保證功能的實現。

(3)根據實際安裝安排插座的位置。插座都是引線到其他模塊的,根據實際結構,為了安裝方便,一般采用就近原則,安排插座的位置,而且一般靠近闆邊。

(4)注意插座方向。插座都是有方向的,方向反了,線材就要重新定做。對于平插的插座,插口方向應該朝向闆外。

(5)Keep Out區域不能有器件。

(6)幹擾源要遠離敏感電路。高速信号、高速時鐘或者大電流開關信号都屬于幹擾源,應該遠離敏感電路,比如複位電路,模拟電路。可以用鋪地來隔開它們。

布線

(1)線寬大小。線寬要結合工藝、載流量來選擇,最小線寬不能小于PCB廠家的最小線寬。同時保證承載電流能力,一般以1mm/A來選取合适線寬。

(2)差分信号線。對于USB、以太網等差分線,注意走線要等長、平行、同平面,間距由阻抗決定。

(3)高速線注意回流路徑。高速線容易産生電磁輻射,如果走線路徑與回流路徑形成面積過大,就會形成一個單匝線圈向外輻射電磁幹擾,如圖1。所以走線的時候要注意旁邊有回流路徑,多層闆設置有電源層和地平面可以有效解決這個問題。

(4)注意模拟信号線。模拟信号線應該與數字信号隔開,走線盡量避免從幹擾源(如時鐘、DC-DC電源)旁邊走過,而且走線越短越好。

EMC和信号完整性

(1)端接電阻。高速線或者頻率較高并且走線較長的數字信号線最好在末端串入一個匹配電阻。

(2)輸入信号線并接小電容。從接口輸入的信号線,最好在靠近接口的地方并接皮法級小電容。電容大小根據信号的強度以及頻率決定,不能太大,否則影響信号完整性。對于低速的輸入信号,比如按鍵輸入,可以選用330pF的小電容,如圖2。

(3)驅動能力。比如驅動電流較大的開關信号可以加三極管驅動;對于扇出數較大的總線可以加緩沖器(如74LS224)驅動。

絲印

(1)闆名、時間、PN碼。

(2)标注。對一些接口(如排陣)的管腳或者關鍵信号進行标注。

(3)元件标号。元件标号要擺放至合适的位置,密集的元件标号可以分組擺放。注意不要擺放在過孔的位置。

其他

Mark點。對于需要機器焊接的PCB,需要加入兩到三個的Mark點。

相關論刊

随着電子産品的速度越來越快,功能越來越多,導緻電子産品的核心元件印刷電路闆(Printed Circuit Board,PCB)所需元器件越來越多,信号頻率越來越高,這會使設計者選擇多層PCB來應對。與此同時也會帶來許多問題,如PCB的電磁兼容性(Electromagnetic Compatible,EMC)、信号完整性(Signal Integrity,SI)、電源完整性(PowerIntegrity,PI)、布局布線以及層疊結構設計等。其中,多層PCB層疊結構設計對PCB的電磁兼容性、布局布線有直接影響,同時對信号完整性、電源完整性也有着重要的影響,即多層PCB層疊結構設計是多層PCB設計至關重要的一步。

因此,本課題以S-參數和遠近場輻射的理論為主要依據,對多層PCB進行了以下幾方面研究:1.通過多層PCB層疊結構設計基本原則對不同層PCB的所有層疊結構進行篩選,為後續仿真提供層疊結構方案。2.多層PCB S-參數分析,探究不同層疊結構方案的回波損耗是否相同,同時為确定PCB的諧振頻率提供理論依據。3.多層PCB遠近場輻射分析,探究不同層疊方案的輻射強度是否相同,為選擇合适的層疊結構方案奠定基礎。

相關詞條

相關搜索

其它詞條