數字電子技術基礎

數字電子技術基礎

一本介紹數字電子技術相關知識的書
《數字電子技術基礎》(第二版)是介紹數字電子技術相關知識的書。本書是高等職業教育電子技術技能培養規劃教材叢書之一,是國家工業和信息化高職高專“十二五”規劃教材立項項目的書籍。《數字電子技術基礎》配有技能訓練、讀圖練習、綜合訓練、實用資料速查、本章小結、自我檢測題及參考答案、思考題與習題等内容,以滿足讀者練習和實訓的需要。
    書名: 别名: 作者: 類别: 原作品: 譯者: 出版社:人民郵電出版社 頁數: 定價: 開本: 裝幀: ISBN:978-7-115-27523-3 中文名:數字電子技術基礎 頁 數:253 頁 作 者:焦素敏 裝 幀:平裝 開 本:16 開

基本信息

叢 書 名 高等職業教育電子技術技能培養規劃教材

編目分類 TN79

責任編輯 趙慧君

印 張 16.5

字 數 407 千字

版 次 第2版第1次

二版時間 2012年8月

本 印 次 2012年8月

定 價 34.80 元

内容簡介

本書是為适應高職高專人才培養的需要,根據國家教育部最新制定的高職高專教育數字電子技術課程教學的基本要求而編寫的。在内容的編排上,充分考慮到高職高專教育的特點,并結合了現代數字電子技術的發展趨勢。

本書内容共分9章,第1章是數字電子技術理論基礎,第2章是邏輯門電路,第3章是組合邏輯電路,第4章是觸發器,第5章是時序邏輯電路,第6章是脈沖波形的産生與變換,第7章是數模和模數轉換器,第8章是半導體存儲器及可編程邏輯器件,第9章是數字電路EDA簡介。

本書配有技能訓練、讀圖練習、綜合訓練、實用資料速查、本章小結、自我檢測題及參考答案、思考題與習題等内容,以滿足讀者練習和實訓的需要。

本書可作為電子、電氣、通信和計算機等各專業的教材,也可供其他非電專業和成人教育、職業培訓等選用。

目錄

第1章 理論基礎

1.1 數字電路概述

1.1.1 數字信号與數字電路

1.1.2 數字電路的特點

1.2 數制和碼制

1.2.1 數制

1.2.2 數制轉換

1.2.3 碼制

1.3 邏輯函數及其表示方法

1.3.1 邏輯代數

1.3.2 3種基本邏輯運算

1.3.3 常用的複合邏輯運算

1.3.4 邏輯函數的表示方法及相互轉換

1.4 邏輯代數的基本定律和規則

1.4.1 邏輯代數的基本定律

1.4.2 邏輯代數的基本規則

1.5 邏輯函數的公式化簡法

1.5.1 邏輯函數的不同表達方式

1.5.2 邏輯函數的公式化簡法

1.6 邏輯函數的卡諾圖化簡法

1.6.1 邏輯函數的最小項及其表達式

1.6.2 邏輯函數的卡諾圖表示法

1.6.3 用卡諾圖化簡邏輯函數

1.7 具有無關項的邏輯函數及其化簡

1.7.1 邏輯函數中的約束項

1.7.2 利用無關項化簡邏輯函數

本章小結

自我檢測題

習題

第2章 邏輯門電路

2.1 二極管和三極管的開關特性

2.1.1 二極管的開關特性

2.1.2 三極管的開關特性

2.2 基本邏輯門電路

2.2.1 3種基本門電路

2.2.2 DTL與非門

2.3 TTL邏輯門電路

2.3.1 TTL與非門的工作原理

2.3.2 TTL與非門的外特性及有關參數

2.4 其他類型的TTL門電路

2.4.1 集電極開路與非門(OC門)

2.4.2 三态門(TS門)

2.4.3 TTL與或非門和異或門

2.5 CMOS反相器門電路

2.5.1 MOS管的開關特性

2.5.2 CMOS反相器

2.6 其他CMOS門電路

2.6.1 CMOS與非門

2.6.2 CMOS或非門

2.6.3 CMOS傳輸門(TG門)

2.7 正負邏輯問題

2.8 門電路在實際應用中應注意的問題

2.8.1 多餘輸入端的處理

2.8.2 TTL和CMOS電路外接負載問題

2.8.3 TTL與CMOS電路的接口技術

技能訓練 集成門電路邏輯功能的測試

實用資料速查:集成門電路相關資料

本章小結

自我檢測題

習題

第3章 組合邏輯電路

3.1 組合邏輯電路的分析方法和設計方法

3.1.1 組合邏輯電路的基本概念

3.1.2 組合邏輯電路的分析方法

3.1.3 組合邏輯電路的設計方法

3.2 編碼器

3.2.1 編碼器的原理和分類

3.2.2 集成編碼器

3.3 譯碼器和數據分配器

3.3.1 譯碼器的原理及分類

3.3.2 集成譯碼器

3.3.3 數據分配器

3.4 數據選擇器

3.4.1 數據選擇器的原理

3.4.2 集成數據選擇器

3.5 數值比較器

3.5.1 數值比較器的原理

3.5.2 集成數值比較器

3.6 算術運算電路

3.6.1 半加器和全加器

3.6.2 集成算術運算電路

3.7 組合邏輯電路中的競争與冒險

3.7.1 産生競争冒險的原因

3.7.2 冒險的消除方法

技能訓練1 組合邏輯電路的設計與測試

技能訓練2 譯碼器的使用

技能訓練3 編碼器、顯示譯碼器及數字顯示電路

技能訓練4 數據選擇器

實用資料速查:常用組合邏輯電路功能部件相關資料

本章小結

自我檢測題

習題

第4章 觸發器

4.1 觸發器的電路結構及工作原理

4.1.1 基本RS觸發器

4.1.2 同步RS觸發器

4.1.3 主從觸發器和邊沿觸發器

4.2 觸發器的功能分類及相互轉換

4.2.1 觸發器的功能分類

4.2.2 不同類型時鐘觸發器的相互轉換

4.2.3 集成觸發器及主要參數

技能訓練 觸發器

本章小結

自我檢測題

習題

第5章 時序邏輯電路

5.1 時序邏輯電路的基本概念

5.2 時序邏輯電路的分析方法和設計方法

5.2.1 同步時序邏輯電路的分析

5.2.2 異步時序邏輯電路的分析

5.2.3 同步時序邏輯電路的設計方法

5.3 寄存器和鎖存器

5.3.1 數碼寄存器

5.3.2 移位寄存器

5.3.3 鎖存器

5.3.4 寄存器集成電路介紹

5.4 計數器

5.4.1 二進制計數器

5.4.2 十進制計數器

5.4.3 集成計數器介紹

5.5 節拍脈沖發生器

技能訓練 集成計數器及應用

實用資料速查:常用時序邏輯電路功能部件相關資料

本章小結

自我檢測題

習題

第6章 脈沖波形的産生與變換

6.1 555定時器

6.2 多諧振蕩器

6.2.1 由555定時器組成的多諧振蕩器

6.2.2 石英晶體多諧振蕩器

6.2.3 多諧振蕩器的應用

6.3 單穩态觸發器

6.3.1 由555定時器組成的單穩态觸發器

6.3.2 集成單穩态觸發器

6.3.3 單穩态觸發器的應用

6.4 施密特觸發器

6.4.1 由門電路組成的施密特觸發器

6.4.2 由555定時器構成的施密特觸發器

6.4.3 集成施密特觸發器

6.4.4 施密特觸發器的應用

技能訓練 555時基電路

讀圖練習 ASCII鍵盤編碼電路

綜合訓練 數字鐘的設計與實現

本章小結

自我檢測題

習題

第7章 數模和模數轉換器

7.1 D/A轉換器

7.1.1 二進制權電阻網絡D/A轉換器

7.1.2 R-2RT型網絡D/A轉換器

7.1.3 D/A轉換器的主要技術參數

7.1.4 集成D/A轉換器

7.2 A/D轉換器

7.2.1 概述

7.2.2 常用的A/D轉換器類型

7.2.3 集成A/D轉換器及其應用

技能訓練1 模數轉換器ADC0809

技能訓練2 數模轉換器DAC0832

讀圖練習3位半數字電壓表

本章小結

自我檢測題

習題

第8章 半導體存儲器及可編程邏輯器件

8.1 随機存取存儲器(RAM)

8.1.1 RAM的結構和工作原理

8.1.2 RAM的存儲元

8.1.3 RAM的擴展

8.2 隻讀存儲器(ROM)

8.2.1 ROM的結構和工作原理

8.2.2 ROM的擴展

8.3 可編程邏輯器件(PLD)

8.3.1 概述

8.3.2 PAL和GAL

*8.3.3 CPLD/FPGA簡介

本章小結

自我檢測題

習題

*第9章 數字電路EDA簡介

9.1 HDL入門

9.1.1 組合邏輯電路設計舉例

9.1.2 時序邏輯電路設計舉例

9.2 EDA工具軟件MAX+plusⅡ使用入門

9.2.1 原理圖輸入設計方法

9.2.2 文本編輯——VHDL設計

本章小結

習題

參考文獻

科學出版

書 名: 數字電子技術基礎

作 者:唐治德

出版社:科學出版社

出版時間: 2010年6月12日

ISBN: 9787030232625

開本: 16開

定價: 28.00元

内容簡介

《數字電子技術基礎》是重慶大學國家電工電子基礎課程教學基地建設的成果之一,具有教材體系科學、教學内容先進和教學适應性強的特點。《數字電子技術基礎》系統地介紹了數字電路的基本理論、設計方法和典型應用。《數字電子技術基礎》内容包括:數字電路基礎、邏輯門電路、邏輯代數、組合邏輯電路的分析方法和設計方法、組合邏輯電路應用、觸發器和定時器、時序邏輯電路的分析方法和設計方法、典型時序邏輯電路、半導體存儲器、可編程邏輯器件和硬件描述語言、數模與模數轉換器。

北京工業

書 名: 數字電子技術基礎

作 者:江捷,馬志誠

出版社:北京工業大學出版社

出版時間: 2009-10-1

ISBN: 9787563920884

開本: 16開

定價: 32.00元

内容簡介

本書為普通高等教育“十一五”國家級規劃教材。本書以數字邏輯為基礎,系統分析為橋梁,系統綜合為目的,全面介紹數字電路的基本理論、分析方法、綜合方法和實際應用。本書共分九章,第一章介紹數字邏輯的表示方法、布爾代數以及邏輯化簡的基本方法;第二至五章分别讨論典型集成電路的基本工作原理及外特性、組合及時序電路的分析、設計方法和各種中規模邏輯模塊的應用;第六章介紹典型中、大規模集成電路,高密度可編程邏輯器件及實用可編程門陣列的原理、組成,同時介紹了應用這些元件實現數字電路的方法;第七、八章介紹A/D和D/A轉換器和脈沖電路;最後一章介紹數字系統設計方法,并給出了數字系統設計實例。

本書第一版2003年被列為高等教育百門精品課程教材建設計劃立項研究項目,2004年被評為北京市高等教育精品教材。教材内容新穎、概念清楚、實踐性強,在體現科學性、先進性和系統性方面具有特色。此外,書中附有大量圖表和應用實例,便于自學,章末附有自我檢測、思考題和習題,利于讀者鞏固和綜合運用所學知識。

本書可作為高等學校通信、控制、電氣、電子信息和計算機等專業的大學本科教材,同時也可作為從事電路設計、通信工程及計算機等專業廣大科技工作者的參考用書。

目錄

第一章數字邏輯基礎

第一節 數制與編碼

第二節 邏輯代數基礎

第三節 邏輯函數的标準形式

第四節 邏輯函數的化簡

小結

名詞解釋

自我檢測

思考題

習題

第二章 邏輯門電路

第一節 标準TTL與非門

第二節 其他類型TTL門電路

第三節 ECL邏輯門電路

第四節 I2L邏輯門電路

第五節 NMOS邏輯門電路

第六節 cM0s邏輯門電路

第七節 邏輯門的接口電路

小結

名詞解釋

自我檢測

思考題

習題

第三章 組合邏輯電路

第一節 組合邏輯電路的分析與設計

第二節 組合邏輯電路中的競争與冒險

第三節超高速集成電路硬件描述語言VHDL

第四節 組合邏輯電路模塊及其應用

小結

名詞解釋

自我檢測

思考題

習題

第四章 時序邏輯電路

第一節 觸發器

第二節 時序電路概述

第三節 同步時序電路的分析

第四節 同步時序電路的設計

第五節異步時序電路

小結

名詞解釋

自我檢測

思考題

習題

第五章 常用時序集成電路模塊及其應用

第二節 計數器

第二節 寄存器與移位寄存器

第三節 序列信号發生器

第四節 時序模塊的應用

小結

名詞解釋

自我檢測

思考題

習題

第六章 可編程邏輯器件PLD

第一節 可編程邏輯器件PLD概述

第二節 可編程邏輯器件PLD編程單元

第三節可編程隻讀存儲器PROM和可編程邏輯陣列PLA

第四節 可編程陣列邏輯PAL器件和通用陣列邏輯GAL器件

第五節 高密度可編程邏輯器件HDPLD原理及應用

第六節 現場可編程門陣列FPGA

第七節随機存取存儲器RAM

小結

名詞解釋

自我檢測

思考題

習題

第七章 D/A轉換器和A/D轉換器

第一節 D/A轉換和A/D轉換的基本原理

第二節 D/A轉換器

第三節 A/D轉換器

小結

名詞解釋

自我檢測

思考題

習題

第八章 脈沖産生與整形

第一節 波形變換電路

第二節 脈沖産生電路

第三節 施密特觸發器

第四節 集成定時器

小結

名詞解釋

自我檢測

思考題

習題

第九章 數字系統設計

第一節 數字系統設計概述

第二節 ASM圖、MDS圖以及ASM圖至MDS圖的轉換

第三節 數字密碼引爆器系統設計

第四節 數字系統設計實例

小結

名詞解釋

自我檢測

思考題

習題

附錄1 基本邏輯門電路圖形符号

附錄2 常用組合電路圖形符号

附錄3 基本觸發器電路邏輯符号

附錄4 常用時序邏輯電路圖形符号

參考文獻

機械工業

書 名: 數字電子技術基礎 第2版(高職類)

作 者:沈任元

出版社:機械工業出版社

出版時間: 2010-08-09

ISBN: 9787111276968

開本: 16開

定價: 24.00元

内容簡介

本書是普通高等教育“十一五”國家級規劃教材。

本書第1版是參照原國家教委1990年7月制定的《高等學校工程專科電子技術基礎課程教學要求》,并在機械工業電類高職教育教材建設協作組1998年會議精神指導下編寫的。與本書配套的教材有《模拟電子技術基礎》和《常用電子元器件簡明手冊》。

本書包括“基礎篇”和“應用篇”兩部分内容。“基礎篇”共分七章,内容是數字電路知識、邏輯門電路、組合邏輯電路、集成觸發器、時序邏輯電路、脈沖信号的産生與轉換、數/模和模/數轉換。“應用篇”共七章,内容與“基礎篇”對應。各章均有練習題供讀者練習。

本書适用于普通高職、普通高校大專班、成教學院、職工大學、技師學院的電氣、電子類專業的電子技術基礎課程教材。還可供中等專業學校或普通高校本科有關專業或從事電子技術的工程人員參考。

目錄

第2版前言

第1版前言

數字電路常用符号一覽表

緒論

一、數字電路的特點

二、脈沖信号和數字信号

三、數字電路的應用

四、數字電路的分類

五、數字電路的學習方法

基礎篇

第一章 數字邏輯基礎

第一節 數制和碼制

一、數的表示方法

二、不同進制數之間的相互轉換

三、二一十進制碼

第二節 邏輯代數

一、基本概念及基本邏輯運算

二、邏輯函數及其表示方法

三、邏輯代數中的基本公式和定律

四、邏輯函數的化簡與變換

本章小結

練習題

第二章 邏輯門電路

第一節 邏輯狀态與正負邏輯

一、邏輯狀态和正負邏輯的規定

二、标準高低電平的規定

第二節 分立元器件門電路

一、二極管門電路

二、晶體管門電路

第三節 晶體管-晶體管集成邏輯門電路(TTL)

一、TTL與非門電路

二、TTL與非門的主要外部特性

三、TTL其他類型的門電路

四、TTL的不同系列

第四節 CMOS集成門電路

一、MOS管的開關特性

二、CMOS集成門電路

本章小結

練習題

第三章 組合邏輯電路

第一節 組合邏輯電路的分析和設計方法

一、組合邏輯電路的分析方法

二、門電路邏輯符号的等效變換

三、組合邏輯電路的設計方法

第二節 集成組合邏輯電路

一、編碼器

二、譯碼器及顯示電路

三、數據選擇器

四、數據分配器

五、數據比較器

六、加法器

第三節 其他組合邏輯電路

一、隻讀存儲器

二、快閃存儲器

三、可編程陣列邏輯

本章小結

練習題

第四章 觸發器電路

第一節 觸發器的基本電路

一、基本RS觸發器

二、集成RS觸發器

三、同步RS觸發器

第二節 邊沿觸發器.

一、邊沿D觸發器

二、邊沿JK觸發器

第三節 觸發器的邏輯轉換

第五章 時序邏輯電路

第六章 脈沖信号的産生與轉換

第七章 數/模(D/A)和模/數(A/D)轉換

應用篇

北京航空

書名:數字電子技術基礎(全國成人高等教育規劃教材)

ISBN:781057489

作者:

出版社:北京航空航天大學出版社

定價:16

出版日期:2000-9-1

版次:1

開本:24cm

包裝:平裝

圖書簡介

簡介:本書是根據“全國成人高等教育工科電子技術基礎課程基本要求”編寫 的。它充分考慮成人教育的特點,在保證教育質量與普通高校“大體一緻”的 前提下,強調以應用為目的,以“必需”、“夠用”為度,突出教學内容的“職業 性”和“針對性”,講述了數字電子技術基礎的基本概念、基本電路、基本分析 方法和設計方法;文字叙述簡明扼要,重點突出。為了便于自學,加強工程訓 練,本書特别配備足夠數量的例題、自測題和習題,并編寫了數字電路應用舉 例一章。

本書主要内容包括:邏輯代數基礎、門電路、組合邏輯電路、觸發器、時序邏輯電路、大規模集成電路、脈沖的産生與整形電路、A/D和D/A轉換電路、數字電路應用舉例等九章。

本書适于作為成人高等教育工科電類各專業專科和本科的教科書,也可供成人高等教育和普通高等教育相關專業選用以及社會讀者閱讀。

目錄

第一章 邏輯代數基礎

内容提要

1.1 數字電路及其特點

1.2數制與碼制

1.2.1 數制

1.2.2 碼制

1.3 邏輯代數及其基本運算

1.3.1 邏輯變量和邏輯函數.

1.3.2 邏輯代數中的三種基本關系和運算

1.3.3 複合邏輯函數

1.4 邏輯函數的表示方法及其相互轉換

1.4.1 邏輯函數的表示方法

1.4.2 邏輯函數不同表示方法的相互轉換

1.5 邏輯代數的基本公式和運算規則

1.5.1 基本公式

1.5.2 運算規則

1.6 邏輯函數的化簡

1.6.1 邏輯函數化簡的意義和最簡的概念

1.6.2 邏輯函數的公式化簡法

1.6.3 邏輯函數的卡諾圖化簡法

本章小結

自測題

習題

第二章 門電路

内容提要

2.1 概述

2.2 半導體器件的開關特性

2.2.1 半導體二極管的開關特性

2.2.2 半導體三極管的開關特性

2.2.3 MOS管的開關特性

2.3 分立元件門電路

2.3.1 二極管與門

2.3.2 二極管或門

2.3.3 三極管反相器

2.3.4 正邏輯和負邏輯

2.4 CMOS門電路

2.4.1 CMOS反相器

2.4.2 CMOS其它類型門電路

2.4.3 CMOS電路的幾個系列

2.4.4 CMOS門電路使用的注意事項

2.5 TTL門電路

2.5.1 TTL與非門

2.5.2 TTL其它類型門電路

2.5.3 TTL電路的幾個系列

2.6 CMOS與TTL門電路的比較

2.6.1 性能比較

2.6.2 使用方法比較

2.6.3 CMOS與TTL電路的相互連接

本章小結

自測題

習題

第三章 組合邏輯電路

内容提要

3.1 組合邏輯電路概述

3.1.1 組合電路的特點

3.1.2 由門電路構成的組合邏輯電路的一般分析方法

3.1.3 由門電路構成的組合邏輯電路的一般設計方法

3.2 常用中規模集成組合邏輯電路

3.2.1 加法器

3.2.2 編碼器

3.2.3 譯碼器

3.2.4 數值比較器

3.2.5 數據選擇器

3.3 利用集成組合邏輯電路設計一般組合邏輯電路

3.3.1 利用譯碼器設計一般組合邏輯電路

3.3.2 利用數據選擇器設計一般組合邏輯電路

3.4 組合邏輯電路中的競争冒險現象

3.4.1 競争冒險現象及其産生原因

3.4.2 競争冒險現象的消除方法

本章小結

自測題

習題

第四章 觸發器

内容提要

4.1 觸發器概述

4.1.1 觸發器的一般特點

4.1.2 觸發器的幾種常見結構和功能分類

4.1.3 觸發器邏輯功能的描述方法

4.2 觸發器的幾種常見結構

4.2.1 基本R—S觸發器

4.2.2 同步R—S觸發器和D鎖存器

4.2.3 主從型觸發器

4.2.4 邊沿觸發器

4.3 時鐘控制觸發器邏輯功能的分類

4.3.1 T觸發器和T’觸發器

4.3.2 五種時鐘控制觸發器的比較

4.3.3 集成觸發器

4.3.4 具有不同邏輯功能觸發器的相互轉換

4.3.5 觸發器的驅動方程和狀态方程

本章小結

自測題

習題

第五章 時序邏輯電路

内容提要

5.1 時序邏輯電路概述

5.1.1 時序電路的特點

5.1.2 時序電路邏輯功能的描述方法

5.1.3 常用時序電路

5.2 計數器

5.2.1 計數器的分類

5.2.2 計數器的一般分析方法

5.2.3 二進制計數器

5.2.4 十進制計數器

5.2.5 利用反饋法實現N進制計數器

5.3 寄存器

5.3.1 數碼寄存器

5.3.2 移位寄存器

5.3.3 移位寄存器型計數器

5.4 順序脈沖發生器

5.5 時序邏輯電路的設計

5.5.1 設計方法及步驟

5.5.2 設計舉例

本章小結

自測題

習題

第六章 大規模集成電路

内容提要

6.1 半導體存儲器概述

6.2 隻讀存儲器(ROM)

6.2.1 固定ROM

6.2.2 可編程RoM

6.2.3 可改寫ROM6.2.4 利用ROM實現組合邏輯函數

6.3 随機存取存儲器(RAM)

6.3.1 基本結構與工作原理

6.3.2 存儲單元

6.4 存儲器的擴展

6.4.1 位擴展

6.4.2 字擴展

6.5 可編程邏輯器件概述

6.6 低密度的可編程邏輯器件(LDPLD)

6.6.1 可編程邏輯陣列(PLA)

6.6.2 可編程陣列邏輯(PAL)

6.6.3 通用陣列邏輯(GAL)

6.7 高密度的可編程邏輯器件(HDPLD)

6.7.1 可擦除/可編程邏輯器件(EPLD)

6.7.2 現場可編程門陣列(FPGA)

6.7.3 在系統可編程邏輯器件(ISP)

6.7.4 ispLSI1016

本章小結

自測題

習題

第七章 脈沖的産生與整形電路

7.1 概述

7.1.1 施密特觸發器

7.1.2 單穩态觸發器

7.1.3 多諧振蕩器

7.2 555定時器及其組成的脈沖産生與整形電路

7.2.1 555定時器

7.2.2 由555定時器組成的施密持觸發器

7.2.3 由555定時器組成的單穩态觸發器

7.2.4 由555定時器組成的多諧振蕩器

7.3 集成施密特觸發器

7.3.1 集成施密特觸發器簡介

7.3.2 施密特觸發器應用舉例

7.4 集成單穩态觸發器

7.4.1 單穩态觸發器簡介

7.4.2 單穩态觸發器應用舉例

7.5 由門電路組成的多諧振蕩器

7.5.1 非對稱式多諧振蕩器

7.5.2 環形多諧振蕩器

7.5.3 石英晶體多諧振蕩器

本章小結

自測題

習題

第八章 數—模轉換(D/A)和模—數轉換(A/D)電路

8.1 慨述

8.2 D/A轉換器

8.2.1 權電阻網絡型D/A轉換器

8.2.2 倒T形電阻網絡D/A轉換器

8.2.3 D/A轉換器的主要技術指标

8.3 A/D轉換器

8.3.1 A/D轉換的一般步驟

8.3.2 取樣保持電路

8.3.3 逐次漸近型A/D轉換器

8.3.4 雙積分型A/D轉換器

8.3.5 A/D轉換器的主要技術指标

本章小結

自測題

習題

第九章 數字電路應用舉例

9.1 串行數值比較器

9.2 波形發生和變換電路

9.2.1 可編程序列脈沖發生器

9.2.2 由移位寄存器組成的順序脈沖發生器

燕山大學

内容介紹

本書為高等學校“十二五”電氣自動化類規劃教材之一,也是燕山大學的“數字電子技術基礎”河北省精品課程配套教材,是根據數字電子技術的新發展和課程組多年的教學實踐積累,針對數字電子技術課程教學基本要求和學習特點而編寫的。全書包括數字邏輯基礎、邏輯門電路、組合邏輯電路、觸發器、時序邏輯電路、半導體存儲器與可編程邏輯器件、脈沖波形的産生與整形、數模和模數轉換,共8章。考慮到EDA技術已成為數字電路設計的首要手段,本書加入了比較流行的EDA設計軟件MAX+plusⅡ的内容,并結合具體章節給出了軟件的應用方法。本教材可滿足學時較少情況下的教學,适宜48~60學時的教學。為了方便教學和自學,配備有實用的電子課件和習題簡解。

目錄

第1章 數字邏輯基礎 1

1.1 數字信号與數字電路 2

1.1.1 數字信号 2

1.1.2 數字電路 3

1.2 數制和碼制 4

1.2.1 幾種常用的數制 4

1.2.2 不同數制間的轉換 7

1.2.3 幾種常用的碼制 8

1.3 邏輯代數 10

1.3.1 邏輯代數中3種基本運算 10

1.3.2 複合邏輯運算 12

1.3.3 邏輯代數的基本公式 14

1.3.4 邏輯代數的常用公式 16

1.3.5 邏輯代數的基本定理 17

1.4 邏輯函數及其表示方法 18

1.4.1 邏輯函數的定義 18

1.4.2 邏輯函數的表示方法 18

1.4.3 各種表示方法間的相互轉換 19

1.5 邏輯函數的化簡 21

1.5.1 邏輯函數的最簡形式 21

1.5.2 公式化簡法 21

1.5.3 卡諾圖化簡法 23

1.6* EDA技術概述 32

1.6.1 EDA發展回顧 32

1.6.2 EDA系統構成 33

1.6.3 EDA工具發展趨勢 34

1.6.4 EDA工具軟件MAX+plus Ⅱ簡介 35

本章小結 37

習題與思考題 37

第2章 邏輯門電路 42

2.1 半導體二極管門電路 43

2.1.1 二極管的開關特性 43

2.1.2 二極管門電路 45

2.2 半導體三極管門電路 46

2.2.1 三極管的開關特性 46

2.2.2 三極管反相器 49

2.3 TTL集成門電路 50

2.3.1 TTL反相器電路結構及原理 50

2.3.2 TTL反相器的電壓傳輸特性和抗幹擾能力 52

2.3.3 TTL反相器的靜态輸入特性、輸出特性和負載能力 54

2.3.4 TTL反相器的動态特性 58

2.3.5 TTL門電路的其他類型 61

2.3.6 TTL集成門系列簡介 69

2.4 CMOS集成門電路 71

2.4.1 MOS管的開關特性 72

2.4.2 CMOS反相器的電路結構和工作原理 77

2.4.3 CMOS反相器的特性及參數 78

2.4.4 CMOS門電路的其他類型 80

2.4.5 CMOS集成門系列簡介 84

2.5* 集成門電路的實際應用問題 86

2.5.1 集成門電路使用應注意的問題 86

2.5.2 TTL電路與CMOS電路之間的接口問題 88

本章小結 90

習題與思考題 90

第3章 組合邏輯電路 96

3.1 概述 97

3.2 組合邏輯電路的分析與設計 98

3.2.1 組合邏輯電路的分析 98

3.2.2 組合邏輯電路的設計方法 100

3.3 常用組合邏輯電路 103

3.3.1 編碼器 104

3.3.2 譯碼器 111

3.3.3 數據選擇器 121

3.3.4 加法器 124

3.3.5 數值比較器 128

3.4 用中規模集成電路設計組合邏輯電路 133

3.4.1 用譯碼器設計組合邏輯電路 133

3.4.2 用數據選擇器設計組合邏輯電路 136

3.4.3 用加法器設計組合邏輯電路 138

3.4.4* 綜合設計 141

3.5 組合邏輯電路的競争—冒險現象 144

3.5.1 競争—冒險的概念及其産生原因 144

3.5.2 消除競争—冒險的方法 145

3.6* 用MAX+plus Ⅱ設計組合邏輯電路 148

本章小結 151

習題與思考題 151

第4章 觸發器 154

4.1 概述 155

4.2 基本SR觸發器(SR鎖存器) 155

4.2.1 由與非門構成的基本SR觸發器 155

4.2.2 由或非門構成的基本SR觸發器 158

4.3 同步觸發器(電平觸發) 160

4.3.1 同步SR觸發器 160

4.3.2 同步D觸發器(D鎖存器) 162

4.4 主從觸發器(脈沖觸發) 163

4.4.1 主從SR觸發器 164

4.4.2 主從JK觸發器 166

4.5 邊沿觸發器(邊沿觸發) 168

4.5.1 維持阻塞結構的邊沿觸發器 169

4.5.2 基于門電路傳輸延遲的邊沿JK觸發器 171

4.5.3 邊沿D觸發器(利用兩個同步D觸發器構成) 174

4.6 觸發器的邏輯功能及描述方法 175

4.7 集成觸發器 177

4.7.1 常用集成觸發器 177

4.7.2 觸發器的功能轉換 179

4.8 觸發器應用舉例 181

4.9* 用MAX+plus II驗證觸發器邏輯功能 182

本章小結 183

習題與思考題 184

第5章 時序邏輯電路 188

5.1 時序電路的基本概念 189

5.1.1 時序電路的分類 189

5.1.2 時序電路的基本結構和描述方法 189

5.2 同步時序電路的分析方法 191

5.2.1 同步時序電路的分析任務 191

5.2.2 同步時序電路的分析步驟 191

5.3 寄存器 196

5.3.1 寄存器和移位寄存器結構組成及原理 196

5.3.2 集成(移位)寄存器及其應用 198

5.4 計數器 202

5.4.1 同步計數器結構組成及原理 203

5.4.2 異步計數器結構組成及原理 209

5.4.3 集成計數器及其應用 211

5.5 同步時序電路的設計方法 219

5.5.1 時序電路設計的基本任務 219

5.5.2 時序電路的設計步驟 219

5.6 用中規模集成電路設計時序電路 224

5.6.1 用移位寄存器設計 224

5.6.2 用計數器設計 226

5.6.3 綜合設計 227

5.7* MAX+plus II設計時序邏輯電路 230

本章小結 232

習題與思考題 233

第6章 半導體存儲器與可編程邏輯器件 236

6.1 概述 237

6.2 随機存儲器RAM 239

6.2.1 RAM存儲單元 239

6.2.2 RAM的結構 240

6.2.3 RAM的擴展 243

6.3 隻讀存儲器ROM 245

6.3.1 固定ROM 245

6.3.2 可編程隻讀存儲器PROM 246

6.3.3 現代常用ROM 250

6.4 可編程邏輯器件PLD 253

6.4.1 PLD基本原理 253

6.4.2 PLD分類 254

6.5 高密度可編程邏輯器件 257

6.5.1 複雜可編程邏輯器件CPLD 257

6.5.2 現場可編程門陣列FPGA 259

6.5.3 基于芯片的設計方法 261

6.6* 硬件描述語言簡介 262

6.6.1 VHDL簡介 263

6.6.2 VHDL描述邏輯電路舉例 265

本章小結 271

習題與思考題 271

第7章 脈沖波形的産生與整形 272

7.1 概述 273

7.1.1 矩形脈沖及其基本特性 273

7.1.2 矩形脈沖的産生和整形方法 274

7.2 555定時器及其脈沖電路 274

7.2.1 555定時器及其工作原理 274

7.2.2 由555定時器構成的單穩态觸發器 277

7.2.3 由555定時器構成的施密特觸發器 281

7.2.4 由555定時器構成的多諧振蕩器 287

7.3 集成和其他單穩态觸發器 290

7.3.1 由門電路構成的單穩态觸發器 290

7.3.2 集成單穩态觸發器 291

7.4 集成和其他施密特觸發器 293

7.4.1 由門電路構成的施密特觸發器 293

7.4.2 集成施密特觸發器 294

7.5 其他多諧振蕩器 296

7.5.1 由門電路構成的多諧振蕩器 296

7.5.2 石英晶體多諧振蕩器 298

本章小結 300

習題與思考題 300

第8章 數模和模數轉換 303

8.1 概述 304

8.2 數模轉換器(DAC) 304

8.2.1 DAC的基本原理 304

8.2.2 倒T形電阻網絡DAC 305

8.2.3 權電流型DAC 306

8.2.4 數模轉換輸出極性的擴展 309

8.2.5 DAC的主要技術參數 311

8.2.6 集成DAC 313

8.3 模數轉換器(ADC) 315

8.3.1 ADC的基本原理 315

8.3.2 并聯比較型ADC 317

8.3.3 逐次漸近型ADC 318

8.3.4 雙積分型ADC 320

8.3.5 ADC的主要技術參數 323

8.3.6 集成ADC 324

8.4 取樣-保持電路 325

本章小結 327

習題與思考題 327

附錄A 常用的數字邏輯集成電路 330

附錄B 邏輯符号對照表 335

附錄C 部分習題與思考題解答 337

參考文獻 348

前言

為适應新形勢下電子技術的高速發展和社會需求,高等教育對電氣信息類人才的培養提出了更高要求,教材内容更新和定位面臨新的挑戰。“數字電子技術基礎”是電氣信息類學生的專業基礎課程,也是實踐性很強的技術基礎課程。随着數字化和信息化技術的飛速發展,數字課程對學生知識和能力的提升凸顯出更重要的作用。

遵照教育部對“電子技術基礎課程教學的基本要求”,要把學生培養成為有一定理論基礎,有較強的實戰能力,有足夠的創新意識的應用型人才。我們從事數字電子技術基礎教學工作多年,并堅持教學改革實踐,積累了豐富的經驗,燕山大學“數字電子技術基礎”課程于2002年獲得河北省首批精品課程稱号,并于2003年全面展開EDA實踐教學,2004年的“數字電子技術基礎課程設計”項目獲河北省教學成果二等獎。

教學的實踐和體會使我們感到,器件的更新、技術的發展使教學内容不斷增加,而課内教學時數又在減少的形勢下,編寫一本适宜理論學時數較少,但密切聯系實踐,突出工程應用的教材是非常必要的。

本書具有以下幾個特點:

1.适宜較少學時教學

本着“保基礎,重實踐,少而精”的原則,整合了教學内容。加強了絕緣栅場效應管的原理知識,可方便CMOS門教學并為先“數字”後“模拟”的教學模式創造條件;适當精簡了CMOS門特性曲線講解,以加大器件産品的比對和參數列表為補充;将555定時器及其脈沖電路作為“脈沖波形的産生與整形”一章的開篇主體部分,具有知識的完整性和獨立性,不受章内後續内容的影響,便于教學内容的裁剪。本教材建議學時數為48~60學時。

2.增加教材的可讀性

本書和傳統教材相比,适度增大了圖、表比例,強化知識對比和總結,并注重對“難點”内容進行細緻的推理解析和附圖說明。每章設有“内容提要”和“本章小結”,并給出“教學基本要求”和“重點内容”。教材附帶習題和思考題簡解、邏輯符号對照表及常用數字集成芯片列表,利于學生閱讀和自學。

3.突出了集成電路内容

除門電路和觸發器較多涉及内部電路外,全書加大了集成芯片及系列産品的介紹和應用舉例,把側重點放在對集成電路的認知和使用方面,以利于學生實戰能力培養和工程意識加強。

4.融合EDA課程設計内容

可編程邏輯器件PLD發展迅速,大容量、高速率的器件不斷出現,在科技領域廣泛應用。本書除在第6章介紹PLD的工作原理和電路結構外,還在其他章節介紹了可編程邏輯器件的編程軟件的使用方法,在相關章節結合教學内容配備相應的EDA實用例題。這些例題來自我們多年的EDA課程設計教學實踐,學生能夠學以緻用,為創新能力的培養打下必要的基礎。

5.采用國際上常用的圖形邏輯符号

為便于學生較快地适應實際工作,中、大規模集成電路的圖形符号采用國外教材、技術資料和EDA軟件中普遍使用的習慣畫法,即示意性框圖畫法。本書基本運算和複合運算的邏輯符号均采用國際上常用的圖形邏輯符号。

6.适宜多樣化教學

教材配套提供電子課件、電子習題簡解及EDA課程設計教學軟件。

另外,教材中把EDA的相關知識和MAX+plus II的設計舉例、集成邏輯門電路的實際應用問題及組合和時序電路的綜合設計等内容暫定為選學部分。因為這些内容應用性較強且占用學時較多,這樣會方便教材使用院校根據學校EDA硬件環境是否具備,或EDA是否再單獨設課,做靈活的教學安排,同時也方便學時較少的學校做必要的内容取舍而不影響本課程知識的整體性。

本書由燕山大學數字電子技術教研組全體老師共同完成。其中劉雪強編寫第1章,李江昊編寫第4、5章,黃震編寫第6章及教材中EDA相關内容,郭璇編寫第8章,常丹華編寫了第2章并負責全書的組織和統稿工作,張寶榮編寫第3、7章并負責全書的核查和修改工作。

對本書選用的參考文獻的著作者,我們緻以真誠的感謝。限于編者水平,書中難免有錯誤和不妥之處,敬請業界同人和讀者批評指正。

編者

2011年5月

李慶常

數字電子技術基礎

書号:04154A

ISBN:978-7-111-04154-2

作者:李慶常

印次:3-3

責編:貢克勤

開本:16

字數:437 千字

定價:¥28.00

所屬叢書:普通高等教育“十一五”國家級規劃教材

裝訂:平

出版日期:2010-08-03

内容簡介

本書是原機械電子工業部高等學校電子技術基礎課程協作組織編寫的電子技術基礎系列教材之二。它以1993年6月全國高校工科電子技術課程才學指導小組新修訂的“教學基本要求”為依據,在内容組織上以講清組合邏輯電路和時序邏輯電路的分析方法和設計方法為主線來介紹各種邏輯器件的功能及應用,貫徹理論聯系實際和少而精的原則,加強了對中規模集成電路的應用、新器件PAL和GAL的介紹。對基本要求中要求必須掌握的基本概念、基本原理和基本分析方法,做到講深講透,并注意講清思路、啟發思維,以培養舉一反三的能力。 本書篇幅适中、内容較新、可讀性強,圖形符号采用了新國标,可作為自動化類、電力類等專業本科生的教材,也可供相近專業師生和工程技術人員參考。

目錄

前言

第1章 數字電路基礎

1.1 概述

1.2 數制和碼制

1.3 邏輯代數基礎

1.4 邏輯函數的建立及其表示方法

1.5 邏輯函數的化簡

1.6 用卡諾圖化簡邏輯函數

習題

第2章 集成邏輯門電路

2.1 分立元件門電路

2.2 TTL集成門電路

2.3 MOS門電路

習題

第3章 組合邏輯電路

3.1 概述

3.2 組合邏輯電路的分析

3.3 組合邏輯電路的設計

3.4 用邏輯門實現組合邏輯電路的設計

3.5 用MSI實現組合邏輯電路設計

習題

第4章 集成觸發器

4.1 概述

4.2 基本RS觸發器

4.3 時鐘觸發器

4.4 觸發器邏輯功能的轉換

習題

第5章 時序邏輯電路

5.1 概述

5.2 時序邏輯電路的分析

5.3 時序邏輯電路的設計

習題

第6章 大規模集成電路

6.1 概述

6.2 存儲器

6.3 可編程邏輯器件PLD

習題

第7章 A/D與D/A轉換器

7.1 概述

7.2 D/A轉換器

7.3 A/D轉換器

習題

第8章 脈沖波形的産生與整形

8.1 概述

8.2 集成555定時器

8.3 多諧振蕩器

8.4 脈沖整形電路

習題

參考文獻

沈任元

數字電子技術基礎

書号:30580

ISBN:978-7-111-30580-4

作者:沈任元

印次:1-2

責編:貢克勤

開本:16

字數:499千字

定價:36.0

所屬叢書:普通高等教育“十一五”電子電氣基礎課程規劃教材

裝訂:平

出版日期:

目錄

前言

寫給同學們的話

各章實驗彙總

第1章數字電路和邏輯門電路1

1.1概述1

1.2數字信号與模拟信号1

1.3數字電路的邏輯狀态和正負邏輯2

1.3.1邏輯狀态和正負邏輯的規定2

1.3.2标準高低電平的規定2

1.4基本邏輯關系及其邏輯運算3

1.4.1與邏輯和與運算3

1.4.2或邏輯和或運算3

1.4.3非邏輯和非運算4

1.5半導體分立門電路5

1.5.1半導體基本知識5

1.5.2半導體二極管及其門電路5

1.5.3二極管門電路5

1.5.4晶體管及其門電路8

1.6TTL集成門電路12

1.6.1TTL門電路系列12

1.62TTL與非門電路12

1.6.3TTL門電路的外部特性14

1.6.4TTL門電路的主要參數18

1.6.5TTL其他類型的門電路21

1.7CMOS門電路25

1.7.1MOS管的開關特性26

1.7.2CMOS門電路概述27

1.7.3CMOS門電路系列30

1.7.4CMOS器件使用時應注意的問題30

1.8集成門電路的接口電路31

1.8.1TTL電路驅動CMOS電路32

1.8.2CMOS電路驅動TTL電路32

1.9數字電路故障的檢測和排除33

1.9.1産生故障的主要原因33

1.9.2常見的故障類型34

1.9.3查找故障的常用方法35

1.10應用電路介紹36

本章小結37

名人名事39

思考題與習題40

本章實驗46

第2章邏輯代數基礎53

2.1數制與編碼53

2.1.1幾種常用的數制53

2.1.2不同進制數之間的相互轉換54

2.1.3編碼56

2.2邏輯代數基礎61

2.2.1基本概念61

2.2.2基本邏輯運算61

2.3邏輯函數常用的描述方法及相互間的轉換62

2.3.1邏輯函數及其表示方法62

2.3.2真值表、卡諾圖和函數式的對應

關系64

2.3.3用邏輯圖描述邏輯函數65

2.3.4用波形圖描述邏輯函數65

2.3.5邏輯函數相等的概念66

2.4邏輯函數的化簡66

2.4.1邏輯代數中的基本公式和定律66

2.4.2邏輯函數的化簡與變換68

2.4.3代數法化簡70

2.4.4卡諾圖法化簡71

2.5具有無關項邏輯函數的化簡74

2.5.1任意項、約束項和邏輯函數中的無關項74

2.5.2具有無關項的邏輯函數的化簡75

2.6用Multisim進行邏輯電路仿真和變換76

2.7應用電路介紹79

本章小結80

名人名事82

思考題與習題83

本章實驗86

上一篇:蚯蚓的日記

下一篇:壯陽食物

相關詞條

相關搜索

其它詞條