電子設計自動化

電子設計自動化

計算機技術
電子設計自動化(EDA:ElectronicDesignautomation)是将計算機技術應用于電子設計過程中而形成的一門新技術,它已經被廣泛應用于電子電路的設計和仿真,集成電路的版圖設計、印刷電路闆的設計和可編程器件的編程等各項工作中。模拟集成電路以及混合電路設計自動化的發展尚不成熟,能提供主要的自動化功能的軟件有CadeceVirtuoso和BtEDA。介紹了電子設計自動化(EDA)技術的發展曆程、EDA技術的主要内容及應用、EDA軟件系統的構成,并對EDA技術的應用前景進行了展望[1]。
  • 中文名:
  • 外文名:Electronic Design Automation
  • 類别:
  • 主管部門:
  • 書名:電子設計自動化
  • 定價:20.80
  • 作者:王振宇
  • ISBN:9787040266726
  • 出版社:電子工業出版社
  • 出版時間:2007年2月
  • 頁數:245頁
  • 品牌:高等教育出版社
  • 開本:16
  • 語種:簡體中文
  • 出版日期:2009年6月1日

曆史與發展

在電子設計自動化出現之前,設計人員必須手工完成集成電路的設計、布線等工作,這是因為當時所謂集成電路的複雜程度遠不及現在。工業界開始使用幾何學方法來制造用于電路光繪(photoplotter)的膠帶。到了1970年代中期,開發人應嘗試将整個設計過程自動化,而不僅僅滿足于自動完成掩膜草圖。第一個電路布局、布線工具研發成功。設計自動化會議(DesignAutomationConference)在這一時期被創立,旨在促進電子設計自動化的發展。

電子設計自動化發展的下一個重要階段以卡弗爾·米德(CarverMead)和琳·康維于1980年發表的論文《超大規模集成電路系統導論》(IntroductiontoVLSISystems)為标志。這一篇具有重大意義的論文提出了通過編程語言來進行芯片設計的新思想。如果這一想法得到實現,芯片設計的複雜程度可以得到顯着提升。這主要得益于用來進行集成電路邏輯仿真、功能驗證的工具的性能得到相當的改善。

随着計算機仿真技術的發展,設計項目可以在構建實際硬件電路之前進行仿真,芯片布局、布線對人工設計的要求降低,而且軟件錯誤率不斷降低。直至今日,盡管所用的語言和工具仍然不斷在發展,但是通過編程語言來設計、驗證電路預期行為,利用工具軟件綜合得到低抽象級(或稱“後端”)物理設計的這種途徑,仍然是數字集成電路設計的基礎。

從1981年開始,電子設計自動化逐漸開始商業化。1984年的設計自動化會議(DesignAutomationConference)上還舉辦了第一個以電子設計自動化為主題的銷售展覽。Gateway設計自動化在1986年推出了一種硬件描述語言Verilog,這種語言在現在是最流行的高級抽象設計語言。1987年,在美國國防部的資助下,另一種硬件描述語言VHDL被創造出來。現代的電子設計自動化設計工具可以識别、讀取不同類型的硬件描述。根據這些語言規範産生的各種仿真系統迅速被推出,使得設計人員可對設計的芯片進行直接仿真。後來,技術的發展更側重于邏輯綜合。

目前的數字集成電路的設計都比較模塊化(參見集成電路設計、設計收斂(Designclosure)和設計流(Designflow(EDA)))。半導體器件制造工藝需要标準化的設計描述,高抽象級的描述将被編譯為信息單元(cell)的形式。設計人員在進行邏輯設計時尚無需考慮信息單元的具體硬件工藝。利用特定的集成電路制造工藝來實現硬件電路,信息單元就會實施預定義的邏輯或其他電子功能。

半導體硬件廠商大多會為它們制造的元件提供“元件庫”,并提供相應的标準化仿真模型。相比數字的電子設計自動化工具,模拟系統的電子設計自動化工具大多并非模塊化的,這是因為模拟電路的功能更加複雜,而且不同部分的相互影響較強,而且作用規律複雜,電子元件大多沒有那麼理想。VerilogAMS就是一種用于模拟電子設計的硬件描述語言。此文,設計人員可以使用硬件驗證語言來完成項目的驗證工作目前最新的發展趨勢是将集描述語言、驗證語言集成為一體,典型的例子有SystemVerilog。

随着集成電路規模的擴大、半導體技術的發展,電子設計自動化的重要性急劇增加。這些工具的使用者包括半導體器件制造中心的硬件技術人員,他們的工作是操作半導體器件制造設備并管理整個工作車間。一些以設計為主要業務的公司,也會使用電子設計自動化軟件來評估制造部門是否能夠适應新的設計任務。電子設計自動化工具還被用來将設計的功能導入到類似現場可編程邏輯門陣列的半定制可編程邏輯器件,或者生産全定制的專用集成電路。

圖書信息

内容簡介

本教材将衆多的EDA工具軟件分為三類:電路圖和印刷電路圖設計軟件、電子電路仿真軟件和可編程邏輯器件開發軟件。根據培養對象及專業知識需求,精選并詳細介紹了三大類中具有代表性的3中軟件:ProtelDXP2004,TinaPro中文學生特别版和QUARTUS5.0。這3種軟件是電子設計工程師必須掌握的軟件,也是電子信息工程專業高職學生任職必須具備的知識。

圖書目錄

電子系統設計篇

第1章電子系統及設計自動化概論

第2章ProtelDXP2004電原理圖設計基礎

第3章ProtelDXP2004電原理圖設計進階

第4章ProtelDXP2004印制電路闆設計

第5篇TinaPro基礎知識

第6章TinaPro設計與分析

第7章QuartusⅡ5.0基本應用

參考文獻

文摘

插圖:

用于傳統數字系統設計的基本器件主要為标準邏輯器件,如TTL74,系列和CMOS4000系列等。标準邏輯器件的主要缺點是邏輯規模小、功耗大、可靠性低。設計一個數字系統往往要用多片标準器件,因此數字系統布局布線複雜,占用的印制電路闆面積較大。

20世紀70年代,世界各半導體廠家竟相開發了專用集成電路(ApplicationSpecificIntegratedCircuit,ASIC)産品。ASIC可分為全定制、半定制和可編程邏輯器件(ProgrammableLogicDevice。PLD)三大類。其中,半定制、全定制ASIC産品的開發需要半導體廠家參與,設計周期長,開發費用高。而PLD的設計開發不需要半導體廠家的參與,适用于一般設計者使用,是集成電路中發展最快的器件之一。PLD器件與标準邏輯器件相比,其主要特點是:

(1)邏輯規模大。PLD器件已進人大規模和超大規模集成電路時代。一片PLD器件的規模可達幾十萬甚至上百萬邏輯門。用一片:PLD器件就可實現一個數字系統,使電子産品體積小、功耗低、可靠性高。

(2)硬件的軟設計。采用PLD器件設計數字系統的主要工作是利用計算機及PLD開發軟件進行邏輯設計、功能仿真,可大大降低系統設計成本。此外,還可利用優化元件庫或專用模塊庫進行設計,提高設計效率,縮短設計周期。

(3)在采用PLD器件設計邏輯電路時,設計者需要利用PLD器件開發軟件和硬件。PLD器件開發軟件根據設計要求,可自動進行邏輯電路設計輸入、編譯、邏輯劃分、優化和模拟,得到一個滿足設計要求的PLD編程數據。邏輯功能模拟通過後,還需将PLD編程數據下載到PLD器件中,使PLD器件具有設計所要求的邏輯功能。

序言

随着大規模集成電路和電子計算機技術的發展,電子産品設計方法發生了根本性的變革,以.電子計算機輔助分析和設計為基礎的電子設計自動化(ElectronicDesignAuntomation,EDA)技術已廣泛用于集成電路與數字系統的設計中。電子設計自動化技術已成為現代電子系統設計的關鍵技術,是新一代電子設計工程師以及從事電子技術開發和研究人員的必備技能。

随着電子産品的集成化和複雜程度的提高,采用先進的電子器件和電子電路設計方法,可以大幅度縮短産品設計周期,并使設計産品小型化、低功耗、高速度、高性能,提高産品的競争能力。

了解新的設計技術應當盡快掌握它的設計流程。本教材在編寫時以實踐為基礎,以詳細的實例讓初學者了解基于QuartusⅡ和NiosⅡIDE的可編程邏輯器件和片上系統(SystemOnaProgrammableChip,SOPC)開發的基本流程,目的是為了讓初學者盡快了解可編程邏輯器件先進的設計方法、基本開發流程和常用設計開發手段。

本教材共七章。第1章為CPLD/FPGA的基本知識,初學者應先了解這部分内容。第2章以兩個實例讓初學者了解CPLD/FPGA基于硬件描述語言和原理圖的基本開發流程,并熟悉Qu.artusⅡ軟件的基本使用。第3章較詳細地介紹了VHDL語法和使用實例,可用于CPLD/FPGA小規模數字系統設計開發或底層模塊設計。第4章通過實例較詳細地介紹了狀态機設計方法,使初學者了解較大規模數字系統的設計方法。

第5章通過實例詳細介紹了QuartusⅡ軟件的LPM參數化宏模塊、存儲器、嵌入式鎖相環、嵌入式邏輯分析儀SignalTapⅡ等多種邏輯設計流程以及層次化設計流程,它主要适用于較大規模FPGA設計開發。第6章通過一個實例詳細介紹了NiosⅡ嵌入式系統軟、硬件設計流程,它主要适用于在大容量FPGA中嵌入微處理器數字系統的設計開發。第7章通過3個數字系統設計實例,介紹了基于可編程邏輯器件進行數字系統設計的完整流程。

本教材由楊靜、遊周密編寫,沈明山負責部分實例的編寫和驗證。楊靜負責全書的統稿。

本教材初稿由王毓銀教授審閱和修改,提出了許多寶貴意見。在此表示衷心的感謝。

由于電子設計自動化技術發展迅速,作者水平有限,本教材一定還存在不少缺點和不足之處,殷切期望讀者指正。

目錄

第1章緒論

1.1引言

1.2可編程邏輯器件(PLD)

1.3EDA技術的發展

第2章可編程邏輯器件基礎

2.1可編程邏輯器件的分類

2.1.1可編程邏輯器件的集成度分類

2.1.2可編程邏輯器件的其他分類方法

2.2PLD器件的基本結構

2.2.1與或陣列

2.2.2宏單元

2.3可編程元件

2.3.1熔絲開關和反熔絲開關

2.3.2浮栅編程技術

2.3.3SRAM配置存儲器

2.4可編程邏輯器件的編程及測試技術

2.4.1在系統可編程技術

2.4.2邊界掃描測試技術(BoundaryScan:Test)

第3章可編程邏輯器件

3.1Altera器件綜述

3.2AlteraFLEXl0K系列芯片

3.2.1FLEXl0K系列器件簡介

3.2.2結構特點與功能描述

3.3AlteraAPEX20系列芯片

3.3.1APEX系列器件簡介

3.3.2APEX20K系列的特點

3.4XilinxXC9500系列器件

3.4.1XC9500系列器件簡介

3.4.2XC9500系列器件的特點

3.5在系統可編程(ISP)邏輯器件

第4章VHDL設計方法

4.1VHDL設計概述

4.1.1VHDL的優勢

4.1.2VHDL的設計步驟

4.2VHDL的模型結構

4.2.1設計實體(DesignEntities)

4.2.2實體說明(EntitvDeclarations)

4.2.3構造體

4.3VHDL語言的基本要素

4.3.1标識符

……

第5章狀态機設計

第6章MAX+PLUSⅡ操作簡介

第7章邏輯綜合和設計實現

第8章數字系統設計與現場集成技巧

第9章EDA綜合設計實例

相關詞條

相關搜索

其它詞條