电子设计自动化

电子设计自动化

计算机技术
电子设计自动化(EDA:ElectronicDesignautomation)是将计算机技术应用于电子设计过程中而形成的一门新技术,它已经被广泛应用于电子电路的设计和仿真,集成电路的版图设计、印刷电路板的设计和可编程器件的编程等各项工作中。模拟集成电路以及混合电路设计自动化的发展尚不成熟,能提供主要的自动化功能的软件有CadeceVirtuoso和BtEDA。介绍了电子设计自动化(EDA)技术的发展历程、EDA技术的主要内容及应用、EDA软件系统的构成,并对EDA技术的应用前景进行了展望[1]。
  • 中文名:
  • 外文名:Electronic Design Automation
  • 类别:
  • 主管部门:
  • 书名:电子设计自动化
  • 定价:20.80
  • 作者:王振宇
  • ISBN:9787040266726
  • 出版社:电子工业出版社
  • 出版时间:2007年2月
  • 页数:245页
  • 品牌:高等教育出版社
  • 开本:16
  • 语种:简体中文
  • 出版日期:2009年6月1日

历史与发展

在电子设计自动化出现之前,设计人员必须手工完成集成电路的设计、布线等工作,这是因为当时所谓集成电路的复杂程度远不及现在。工业界开始使用几何学方法来制造用于电路光绘(photoplotter)的胶带。到了1970年代中期,开发人应尝试将整个设计过程自动化,而不仅仅满足于自动完成掩膜草图。第一个电路布局、布线工具研发成功。设计自动化会议(DesignAutomationConference)在这一时期被创立,旨在促进电子设计自动化的发展。

电子设计自动化发展的下一个重要阶段以卡弗尔·米德(CarverMead)和琳·康维于1980年发表的论文《超大规模集成电路系统导论》(IntroductiontoVLSISystems)为标志。这一篇具有重大意义的论文提出了通过编程语言来进行芯片设计的新思想。如果这一想法得到实现,芯片设计的复杂程度可以得到显着提升。这主要得益于用来进行集成电路逻辑仿真、功能验证的工具的性能得到相当的改善。

随着计算机仿真技术的发展,设计项目可以在构建实际硬件电路之前进行仿真,芯片布局、布线对人工设计的要求降低,而且软件错误率不断降低。直至今日,尽管所用的语言和工具仍然不断在发展,但是通过编程语言来设计、验证电路预期行为,利用工具软件综合得到低抽象级(或称“后端”)物理设计的这种途径,仍然是数字集成电路设计的基础。

从1981年开始,电子设计自动化逐渐开始商业化。1984年的设计自动化会议(DesignAutomationConference)上还举办了第一个以电子设计自动化为主题的销售展览。Gateway设计自动化在1986年推出了一种硬件描述语言Verilog,这种语言在现在是最流行的高级抽象设计语言。1987年,在美国国防部的资助下,另一种硬件描述语言VHDL被创造出来。现代的电子设计自动化设计工具可以识别、读取不同类型的硬件描述。根据这些语言规范产生的各种仿真系统迅速被推出,使得设计人员可对设计的芯片进行直接仿真。后来,技术的发展更侧重于逻辑综合。

目前的数字集成电路的设计都比较模块化(参见集成电路设计、设计收敛(Designclosure)和设计流(Designflow(EDA)))。半导体器件制造工艺需要标准化的设计描述,高抽象级的描述将被编译为信息单元(cell)的形式。设计人员在进行逻辑设计时尚无需考虑信息单元的具体硬件工艺。利用特定的集成电路制造工艺来实现硬件电路,信息单元就会实施预定义的逻辑或其他电子功能。

半导体硬件厂商大多会为它们制造的元件提供“元件库”,并提供相应的标准化仿真模型。相比数字的电子设计自动化工具,模拟系统的电子设计自动化工具大多并非模块化的,这是因为模拟电路的功能更加复杂,而且不同部分的相互影响较强,而且作用规律复杂,电子元件大多没有那么理想。VerilogAMS就是一种用于模拟电子设计的硬件描述语言。此文,设计人员可以使用硬件验证语言来完成项目的验证工作目前最新的发展趋势是将集描述语言、验证语言集成为一体,典型的例子有SystemVerilog。

随着集成电路规模的扩大、半导体技术的发展,电子设计自动化的重要性急剧增加。这些工具的使用者包括半导体器件制造中心的硬件技术人员,他们的工作是操作半导体器件制造设备并管理整个工作车间。一些以设计为主要业务的公司,也会使用电子设计自动化软件来评估制造部门是否能够适应新的设计任务。电子设计自动化工具还被用来将设计的功能导入到类似现场可编程逻辑门阵列的半定制可编程逻辑器件,或者生产全定制的专用集成电路。

图书信息

内容简介

本教材将众多的EDA工具软件分为三类:电路图和印刷电路图设计软件、电子电路仿真软件和可编程逻辑器件开发软件。根据培养对象及专业知识需求,精选并详细介绍了三大类中具有代表性的3中软件:ProtelDXP2004,TinaPro中文学生特别版和QUARTUS5.0。这3种软件是电子设计工程师必须掌握的软件,也是电子信息工程专业高职学生任职必须具备的知识。

图书目录

电子系统设计篇

第1章电子系统及设计自动化概论

第2章ProtelDXP2004电原理图设计基础

第3章ProtelDXP2004电原理图设计进阶

第4章ProtelDXP2004印制电路板设计

第5篇TinaPro基础知识

第6章TinaPro设计与分析

第7章QuartusⅡ5.0基本应用

参考文献

文摘

插图:

用于传统数字系统设计的基本器件主要为标准逻辑器件,如TTL74,系列和CMOS4000系列等。标准逻辑器件的主要缺点是逻辑规模小、功耗大、可靠性低。设计一个数字系统往往要用多片标准器件,因此数字系统布局布线复杂,占用的印制电路板面积较大。

20世纪70年代,世界各半导体厂家竟相开发了专用集成电路(ApplicationSpecificIntegratedCircuit,ASIC)产品。ASIC可分为全定制、半定制和可编程逻辑器件(ProgrammableLogicDevice。PLD)三大类。其中,半定制、全定制ASIC产品的开发需要半导体厂家参与,设计周期长,开发费用高。而PLD的设计开发不需要半导体厂家的参与,适用于一般设计者使用,是集成电路中发展最快的器件之一。PLD器件与标准逻辑器件相比,其主要特点是:

(1)逻辑规模大。PLD器件已进人大规模和超大规模集成电路时代。一片PLD器件的规模可达几十万甚至上百万逻辑门。用一片:PLD器件就可实现一个数字系统,使电子产品体积小、功耗低、可靠性高。

(2)硬件的软设计。采用PLD器件设计数字系统的主要工作是利用计算机及PLD开发软件进行逻辑设计、功能仿真,可大大降低系统设计成本。此外,还可利用优化元件库或专用模块库进行设计,提高设计效率,缩短设计周期。

(3)在采用PLD器件设计逻辑电路时,设计者需要利用PLD器件开发软件和硬件。PLD器件开发软件根据设计要求,可自动进行逻辑电路设计输入、编译、逻辑划分、优化和模拟,得到一个满足设计要求的PLD编程数据。逻辑功能模拟通过后,还需将PLD编程数据下载到PLD器件中,使PLD器件具有设计所要求的逻辑功能。

序言

随着大规模集成电路和电子计算机技术的发展,电子产品设计方法发生了根本性的变革,以.电子计算机辅助分析和设计为基础的电子设计自动化(ElectronicDesignAuntomation,EDA)技术已广泛用于集成电路与数字系统的设计中。电子设计自动化技术已成为现代电子系统设计的关键技术,是新一代电子设计工程师以及从事电子技术开发和研究人员的必备技能。

随着电子产品的集成化和复杂程度的提高,采用先进的电子器件和电子电路设计方法,可以大幅度缩短产品设计周期,并使设计产品小型化、低功耗、高速度、高性能,提高产品的竞争能力。

了解新的设计技术应当尽快掌握它的设计流程。本教材在编写时以实践为基础,以详细的实例让初学者了解基于QuartusⅡ和NiosⅡIDE的可编程逻辑器件和片上系统(SystemOnaProgrammableChip,SOPC)开发的基本流程,目的是为了让初学者尽快了解可编程逻辑器件先进的设计方法、基本开发流程和常用设计开发手段。

本教材共七章。第1章为CPLD/FPGA的基本知识,初学者应先了解这部分内容。第2章以两个实例让初学者了解CPLD/FPGA基于硬件描述语言和原理图的基本开发流程,并熟悉Qu.artusⅡ软件的基本使用。第3章较详细地介绍了VHDL语法和使用实例,可用于CPLD/FPGA小规模数字系统设计开发或底层模块设计。第4章通过实例较详细地介绍了状态机设计方法,使初学者了解较大规模数字系统的设计方法。

第5章通过实例详细介绍了QuartusⅡ软件的LPM参数化宏模块、存储器、嵌入式锁相环、嵌入式逻辑分析仪SignalTapⅡ等多种逻辑设计流程以及层次化设计流程,它主要适用于较大规模FPGA设计开发。第6章通过一个实例详细介绍了NiosⅡ嵌入式系统软、硬件设计流程,它主要适用于在大容量FPGA中嵌入微处理器数字系统的设计开发。第7章通过3个数字系统设计实例,介绍了基于可编程逻辑器件进行数字系统设计的完整流程。

本教材由杨静、游周密编写,沈明山负责部分实例的编写和验证。杨静负责全书的统稿。

本教材初稿由王毓银教授审阅和修改,提出了许多宝贵意见。在此表示衷心的感谢。

由于电子设计自动化技术发展迅速,作者水平有限,本教材一定还存在不少缺点和不足之处,殷切期望读者指正。

目录

第1章绪论

1.1引言

1.2可编程逻辑器件(PLD)

1.3EDA技术的发展

第2章可编程逻辑器件基础

2.1可编程逻辑器件的分类

2.1.1可编程逻辑器件的集成度分类

2.1.2可编程逻辑器件的其他分类方法

2.2PLD器件的基本结构

2.2.1与或阵列

2.2.2宏单元

2.3可编程元件

2.3.1熔丝开关和反熔丝开关

2.3.2浮栅编程技术

2.3.3SRAM配置存储器

2.4可编程逻辑器件的编程及测试技术

2.4.1在系统可编程技术

2.4.2边界扫描测试技术(BoundaryScan:Test)

第3章可编程逻辑器件

3.1Altera器件综述

3.2AlteraFLEXl0K系列芯片

3.2.1FLEXl0K系列器件简介

3.2.2结构特点与功能描述

3.3AlteraAPEX20系列芯片

3.3.1APEX系列器件简介

3.3.2APEX20K系列的特点

3.4XilinxXC9500系列器件

3.4.1XC9500系列器件简介

3.4.2XC9500系列器件的特点

3.5在系统可编程(ISP)逻辑器件

第4章VHDL设计方法

4.1VHDL设计概述

4.1.1VHDL的优势

4.1.2VHDL的设计步骤

4.2VHDL的模型结构

4.2.1设计实体(DesignEntities)

4.2.2实体说明(EntitvDeclarations)

4.2.3构造体

4.3VHDL语言的基本要素

4.3.1标识符

……

第5章状态机设计

第6章MAX+PLUSⅡ操作简介

第7章逻辑综合和设计实现

第8章数字系统设计与现场集成技巧

第9章EDA综合设计实例

相关词条

相关搜索

其它词条